diff --git a/vendored_parsers/tree-sitter-vhdl/Cargo.toml b/vendored_parsers/tree-sitter-vhdl/Cargo.toml new file mode 100644 index 000000000..abf7a0c96 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/Cargo.toml @@ -0,0 +1,26 @@ +[package] +name = "tree-sitter-vhdl" +description = "vhdl grammar for the tree-sitter parsing library" +version = "0.0.1" +keywords = ["incremental", "parsing", "vhdl"] +categories = ["parsing", "text-editors"] +repository = "https://github.com/tree-sitter/tree-sitter-vhdl" +edition = "2018" +license = "MIT" + +build = "bindings/rust/build.rs" +include = [ + "bindings/rust/*", + "grammar.js", + "queries/*", + "src/*", +] + +[lib] +path = "bindings/rust/lib.rs" + +[dependencies] +tree-sitter = "~0.20.10" + +[build-dependencies] +cc = "1.0" diff --git a/vendored_parsers/tree-sitter-vhdl/LICENSE b/vendored_parsers/tree-sitter-vhdl/LICENSE new file mode 100644 index 000000000..9fd1a7ff8 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/LICENSE @@ -0,0 +1,21 @@ +MIT License + +Copyright (c) 2020 Alexandre A. Muller + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in all +copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +SOFTWARE. diff --git a/vendored_parsers/tree-sitter-vhdl/README.md b/vendored_parsers/tree-sitter-vhdl/README.md new file mode 100644 index 000000000..81cd08760 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/README.md @@ -0,0 +1,26 @@ +# tree-sitter-vhdl + +Tree-sitter-vhdl is a VHDL parser for syntax highlighting. + +## Missing features + +- [x] VHDL-2008 + - [ ] Protected tool directives + - [x] PSL-02 + - [ ] LTL PSL Operators (not intended to be supported) + - [ ] OBE PSL Operators (not intended to be supported) +- [ ] VHDL-2019 + +Not listed features are implemented already. + +## Notes + +The parser accepts some illegal constructions to be able to provide precise +error highlight. + +See `./tests/highlight/` and `./queries/highlights.scm` for a list of errors. + +## References +* IEEE Std 1076-2008 +* IEEE Std 1850-2005 +* IEEE Std 1076-2019 diff --git a/vendored_parsers/tree-sitter-vhdl/binding.gyp b/vendored_parsers/tree-sitter-vhdl/binding.gyp new file mode 100644 index 000000000..48c403959 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/binding.gyp @@ -0,0 +1,18 @@ +{ + "targets": [ + { + "target_name": "tree_sitter_vhdl_binding", + "include_dirs": [ + " +#include "nan.h" + +using namespace v8; + +extern "C" TSLanguage * tree_sitter_vhdl(); + +namespace { + +NAN_METHOD(New) {} + +void Init(Local exports, Local module) { + Local tpl = Nan::New(New); + tpl->SetClassName(Nan::New("Language").ToLocalChecked()); + tpl->InstanceTemplate()->SetInternalFieldCount(1); + + Local constructor = Nan::GetFunction(tpl).ToLocalChecked(); + Local instance = constructor->NewInstance(Nan::GetCurrentContext()).ToLocalChecked(); + Nan::SetInternalFieldPointer(instance, 0, tree_sitter_vhdl()); + + Nan::Set(instance, Nan::New("name").ToLocalChecked(), Nan::New("vhdl").ToLocalChecked()); + Nan::Set(module, Nan::New("exports").ToLocalChecked(), instance); +} + +NODE_MODULE(tree_sitter_vhdl_binding, Init) + +} // namespace diff --git a/vendored_parsers/tree-sitter-vhdl/bindings/node/index.js b/vendored_parsers/tree-sitter-vhdl/bindings/node/index.js new file mode 100644 index 000000000..f6362cab1 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/bindings/node/index.js @@ -0,0 +1,19 @@ +try { + module.exports = require("../../build/Release/tree_sitter_vhdl_binding"); +} catch (error1) { + if (error1.code !== 'MODULE_NOT_FOUND') { + throw error1; + } + try { + module.exports = require("../../build/Debug/tree_sitter_vhdl_binding"); + } catch (error2) { + if (error2.code !== 'MODULE_NOT_FOUND') { + throw error2; + } + throw error1 + } +} + +try { + module.exports.nodeTypeInfo = require("../../src/node-types.json"); +} catch (_) {} diff --git a/vendored_parsers/tree-sitter-vhdl/bindings/rust/build.rs b/vendored_parsers/tree-sitter-vhdl/bindings/rust/build.rs new file mode 100644 index 000000000..c6061f099 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/bindings/rust/build.rs @@ -0,0 +1,40 @@ +fn main() { + let src_dir = std::path::Path::new("src"); + + let mut c_config = cc::Build::new(); + c_config.include(&src_dir); + c_config + .flag_if_supported("-Wno-unused-parameter") + .flag_if_supported("-Wno-unused-but-set-variable") + .flag_if_supported("-Wno-trigraphs"); + let parser_path = src_dir.join("parser.c"); + c_config.file(&parser_path); + + // If your language uses an external scanner written in C, + // then include this block of code: + + /* + let scanner_path = src_dir.join("scanner.c"); + c_config.file(&scanner_path); + println!("cargo:rerun-if-changed={}", scanner_path.to_str().unwrap()); + */ + + c_config.compile("parser"); + println!("cargo:rerun-if-changed={}", parser_path.to_str().unwrap()); + + // If your language uses an external scanner written in C++, + // then include this block of code: + + /* + let mut cpp_config = cc::Build::new(); + cpp_config.cpp(true); + cpp_config.include(&src_dir); + cpp_config + .flag_if_supported("-Wno-unused-parameter") + .flag_if_supported("-Wno-unused-but-set-variable"); + let scanner_path = src_dir.join("scanner.cc"); + cpp_config.file(&scanner_path); + cpp_config.compile("scanner"); + println!("cargo:rerun-if-changed={}", scanner_path.to_str().unwrap()); + */ +} diff --git a/vendored_parsers/tree-sitter-vhdl/bindings/rust/lib.rs b/vendored_parsers/tree-sitter-vhdl/bindings/rust/lib.rs new file mode 100644 index 000000000..830d20519 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/bindings/rust/lib.rs @@ -0,0 +1,52 @@ +//! This crate provides vhdl language support for the [tree-sitter][] parsing library. +//! +//! Typically, you will use the [language][language func] function to add this language to a +//! tree-sitter [Parser][], and then use the parser to parse some code: +//! +//! ``` +//! let code = ""; +//! let mut parser = tree_sitter::Parser::new(); +//! parser.set_language(tree_sitter_vhdl::language()).expect("Error loading vhdl grammar"); +//! let tree = parser.parse(code, None).unwrap(); +//! ``` +//! +//! [Language]: https://docs.rs/tree-sitter/*/tree_sitter/struct.Language.html +//! [language func]: fn.language.html +//! [Parser]: https://docs.rs/tree-sitter/*/tree_sitter/struct.Parser.html +//! [tree-sitter]: https://tree-sitter.github.io/ + +use tree_sitter::Language; + +extern "C" { + fn tree_sitter_vhdl() -> Language; +} + +/// Get the tree-sitter [Language][] for this grammar. +/// +/// [Language]: https://docs.rs/tree-sitter/*/tree_sitter/struct.Language.html +pub fn language() -> Language { + unsafe { tree_sitter_vhdl() } +} + +/// The content of the [`node-types.json`][] file for this grammar. +/// +/// [`node-types.json`]: https://tree-sitter.github.io/tree-sitter/using-parsers#static-node-types +pub const NODE_TYPES: &'static str = include_str!("../../src/node-types.json"); + +// Uncomment these to include any queries that this grammar contains + +// pub const HIGHLIGHTS_QUERY: &'static str = include_str!("../../queries/highlights.scm"); +// pub const INJECTIONS_QUERY: &'static str = include_str!("../../queries/injections.scm"); +// pub const LOCALS_QUERY: &'static str = include_str!("../../queries/locals.scm"); +// pub const TAGS_QUERY: &'static str = include_str!("../../queries/tags.scm"); + +#[cfg(test)] +mod tests { + #[test] + fn test_can_load_grammar() { + let mut parser = tree_sitter::Parser::new(); + parser + .set_language(super::language()) + .expect("Error loading vhdl language"); + } +} diff --git a/vendored_parsers/tree-sitter-vhdl/grammar.js b/vendored_parsers/tree-sitter-vhdl/grammar.js new file mode 100644 index 000000000..34a0fc660 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/grammar.js @@ -0,0 +1,3793 @@ +const PREC = { + CONSTANT_INTERFACE: 3, + VARIABLE_INTERFACE: 2, + SIGNAL_INTERFACE: 1, + ILLEGAL_INTERFACE: -3, +}; + +// 15.2 Character set +const RANGE_ATTRIBUTE_DESIGNATOR = [ + 'range', + 'reverse_range' +]; + +const PREDEFINED_ATTRIBUTE_DESIGNATOR = [ + 'base', + 'left', + 'right', + 'high', + 'low', + 'image', + 'pos', + 'val', + 'succ', + 'pred', + 'leftof', + 'rightof', + 'subtype', + 'length', + 'ascending', + 'descending', + 'element', + 'delayed', + 'stable', + 'quiet', + 'transaction', + 'event', + 'active', + 'last_event', + 'last_active', + 'last_value', + 'driving', + 'driving_value', + 'simple_name', + 'instance_name', + 'path_name', +]; + +const PREDEFINED_ATTRIBUTE_DESIGNATOR_WITH_EXPRESSION = [ + 'image', + 'value', + 'pos', + 'val', + 'succ', + 'pred', + 'leftof', + 'rightof', + 'left', + 'right', + 'high', + 'low', + 'length', + 'ascending', + 'delayed', + 'stable', + 'quiet' +]; + +const EXPONENT = seq( + choice('e','E'), + optional(choice('+','-')), + repeat(/[0-9_]/), +); + +module.exports = grammar({ + name: 'vhdl', + + word: $ => $.basic_identifier, + + extras: $ => [ // {{{ + $.comment, + $.tool_directive, + /\s/, + ], // }}} + inline: $ => [ // {{{ + $._entity_name, // 3.2 + $._generate_specification, // 3.4 + $._configuration_item, // 3.4.2 + $._block_specification, // 3.4.2 + $._designator, // 4.2.1 + $._subprogram_declaration, // 4.2.1 + $._subprogram_kind, // 4.3 + $._subprogram_body, // 4.3 + $._subprogram_instantiation_declaration, // 4.4 + $._uninstantiated_name, // 4.4 + $._package_name, // 4.8 + $._scalar_type_definition, // 5.2.1 + $._range, // 5.2.1 + $._range_attribute_name, // 5.2.1 + $._numeric_type_definition, // 5.2.1 + $._unit, // 5.2.4 + $._discrete_range, // 5.3.2 + $._object_declaration, // 6 + $._constraint, // 6.3 + $._element_constraint, // 6.3 + $._resolution_indication, // 6.3 + $._generic_interface_declaration, // 6.5 + $._port_interface_declaration, // 6.5 + $._procedure_interface_declaration, // 6.5 + $._function_interface_declaration, // 6.5 + $._alias_denotator, // 6.6 + $._illegal_interface_declaration, // 6.5.2 + $._subprogram_interface_declaration, // 6.5.4 + $._formal_part, // 6.5.7 + $._actual_part, // 6.5.7 + $._generic_interface_list, // 6.5.6.1 + $._port_interface_list, // 6.5.6.1 + $._procedure_parameter_list, // 6.5.6.1 + $._function_parameter_list, // 6.5.6.1 + $._clause, // HEADER + $._group_template, // 6.10 + $._group_constituent, // 6.10 + $._component_name, // 7.3 + $._name, // 8 + $._range_attribute_designator, // 8.6 + $._external_object_name, // 8.7 + $._name_or_label, // 8.7 + $._external_pathname, // 8.7 + $._expression, // 9.1 + $._condition, // 9.1 + $._simple_expression, // 9.1 + $._string_expression, // 9.1 + $._severity_expression, // 9.1 + $._file_open_kind, // 9.1 + $._time_expression, // 9.1 + $._literal, // 9.3.2 + $._numeric_literal, // 9.3.2 + $._element_association, // 9.3.3 + $._value, // 9.3.3 + $._choice, // 9.3.3 + $._function_name, // 9.3.4 + $._signal_name, // 10.2 + $._sensitivity_clause, // 10.2 + $._condition_clause, // 10.2 + $._timeout_clause, // 10.2 + $._signal_assignment_statement, // 10.5 + $._simple_signal_assignment, // 10.5.2 + $._conditional_signal_assignment, // 10.5.3 + $._selected_signal_assignment, // 10.5.4 + $._variable_assignment_statement, // 10.6 + $._iteration_scheme, // 10.10 + $._concurrent_signal_assignment, // 11.6 + $._generate_statement, // 11.8 + $._library_unit, // 13.1 + $._digit, // 15.5.2 + $._digit_immed, // 15.5.2 + $._identifier, // 14.4 + $._abstract_literal, // 15.5 + // PSL + $._PSL_Identifier, // PSL + $._PSL_Boolean, // PSL 5 + $._PSL_Any_Type, // PSL 5 + $._PSL_Clock_Expression, // PSL 5.3 + $._PSL_Value, // PSL 5 + $._PSL_FL_Property, // PSL 6.2 + $._PSL_Property, // PSL 6.2 + $._PSL_HDL_Module_NAME, // PSL 7.2 + ], // }}} + conflicts: $ => [ // {{{ + // 'procedure' _identifier • 'is' … + // + // procedure_declaration: + // procedure foo is begin end procedure; + // procedure_declaration: + // procedure foo is new bar; + [$._procedure_specification, $.procedure_instantiation_declaration], + [$._function_specification , $.function_instantiation_declaration ], + // NOTE: This conflict can be solved inlining the rules, but there + // is a large penalty on the generated parser size (that is already + // quite large) + + // function_call (positional_association_element) + // ambiguous_name (expression_list) + // + // _name '(' _expression ')' + // + // `foo ('+')` -> function_call (see _actual_part) + // `foo ("str")` -> function_call (see _actual_part) + [$.positional_association_element, $.expression_list], + [$.positional_association_element, $.group_constituent_list, $._primary], + + // `(id (discrete_range))` + // slice name: + // `assert (arr (id'range))` + // subtype indication + // `assert new rec (elem (id'range));` + [$.index_constraint, $.slice_name], + + // '(' _simple_name '(' _simple_name • ')' … + // resolution_function: + // assert new subtype_st (resolve_fun type_t); + // ^^^^^^^^^^^ + // type_mark: + // assert new subtype_st (type_t object'range); + // ^^^^^^ + // Unsure when _expression is used + [$.resolution_function, $.type_mark, $._primary], + + // type_mark is ambiguos with simple_name in many contexts + [$.type_mark, $._primary], + [$.type_mark, $._primary, $.entity_instantiation], + [$.type_mark, $._primary, $.PSL_Hierarchical_HDL_Name], + [$.type_mark, $.function_call], + [$.type_mark, $.ambiguous_name, $.function_call], + [$.type_mark, $.ambiguous_name, $.function_call, $.slice_name], + [$.type_mark, $.ambiguous_name, $.function_call, $.slice_name, $.record_element_resolution, $.type_mark], + + // _simple_name • ''' … + // + // attribute_name: + // `assert foo'bar;` + // qualified_expression: + // `assert foo'(bar);` + [$.attribute_name, $.type_mark], + [$.attribute_name, $._primary], + + [$._predefined_designator, $._predefined_designator_with_expression], + + // '(' _simple_name • ''' … + // + // type_mark: + // assert (foo'(bar), ...); + // attribute_name: + // assert (foo'bar, ...); + // range_attribute_name + // assert (foo'range, ...); + [$.attribute_name, $.range_attribute_name, $.type_mark], + + // '(' _name '(' open • ')' ... + // + // function call: + // `assert (fun (fun (open)))` + // subtype indication + // `assert new rec (elem (open));` + // + [$.positional_association_element, $.index_constraint], + [$.positional_association_element, $._primary], + [$.named_association_element, $._primary], + + // 6.2 + // map clauses and map aspects shall or shall not be + // followed by semicolon depending on the context + [$.generic_clause], + [$.port_clause], + [$.procedure_parameter_clause], + [$.function_parameter_clause], + [$.generic_map_aspect], + [$.port_map_aspect], + + // interfaces declarations defaults depends on context + // see corpus/interface_lists/ + [$.constant_interface_declaration, + $.signal_interface_declaration, + $.variable_interface_declaration ], + + [$._constant_mode, $._signal_mode, $._variable_mode], + + // Generate statement body + // see corpus/declarations/generate.txt + // If generate - Generate body - If + [$.if_generate], + [$.else_generate], + [$.elsif_generate], + [$.elsif_generate], + [$.generate_statement_body], + [$.case_generate_alternative], + + // `assert id + // `restrict id;` + [$.PSL_Instance, $._simple_name], + [$._PSL_Property_Instance, $._PSL_Sequence_Instance, $._PSL_Ambiguous_Instance], + [$._PSL_Property_Instance, $._PSL_Sequence_Instance], + + [$.PSL_Property_Replicator], + [$.PSL_Property_Declaration], + + // assert '{' ... '}' + [$._PSL_Compound_SERE, $._PSL_Sequence], + + [$.configuration_specification], + ], // }}} + precedences: () => [ // {{{ + // Top level precedence + // Used when declarations and/or statements are outside of sequential + // statements, library unit or context clause. + // Use case: snippets of code on web (eg. declarative part w/o body) + [ 'declaration' , 'primary_unit' ], + [ 'declaration' , 'secondary_unit' ], + [ 'declaration' , 'context_item' ], + [ 'concurrent_statement' , 'sequential_statement'], + [ 'concurrent_statement' , 'declaration' ], + // Component declarations vs component instantiation + [ 'component_declaration', 'simple_name' ], + // This following relation is ambiguos. Usually procedure_call_statement, + // but component_instantiation is also legal. + [ 'procedure_call', 'component_instantiation' ], + // Expanded name + // Subtype indication + [ 'record_element_constraint' , 'type_mark' ], + [ 'record_element_resolution' , 'resolution_function' ], + [ 'type_mark' , 'resolution_function' ], + [ 'primary' , 'resolution_function' ], + // Physical literal + [ 'primary' , 'physical_literal' ], + [ 'attribute_name' , 'physical_literal' ], + // Group constituent + [ 'group_constituent_list' , 'primary' ], + [ 'group_constituent_list' , 'type_mark' ], + // Generate statatement element + [ 'generate_statement_element', 'primary' ], + // Incomplete selected assignment + // Assertion + // NOTE + // VHDL LRM states that ambiguos VHDL/PSL assertions shall + // be parsed as VHDL assertion + [ 'vhdl_assertion', 'psl_assertion' ], + // VHDL operands precedence + [ + 'range', + 'exponentiation', + 'factor', + 'term', + 'sign', + 'simple_expression', + 'shift_expression', + 'relation', + 'logical_expression', + 'reduction', + 'condition', + ], + // PSL operands precedence + [ + 'union', + 'clocked', + 'SERE_repetition', + 'sequence_within', + 'sequence_and', + 'sequence_or', + 'sequence_fusion', + 'sequence_concatenation', + 'termination_property', + 'occurrence_property', + 'bounding_property', + 'sequence_implication', + 'property_implication', + 'invariant_property', + ], + [ + // "next" "(" ... ")" is psl function + 'psl_function_call', + 'parenthesized_boolean', + 'parenthesized_expression', + 'occurrence_property', + 'parenthesized_property', + ], + // Conflicts between VHDL expression and PSL expression + [ 'logical_expression', 'logical_property' ], + [ 'factor' , 'property_factor' ], + // PSL Expression implication has the same precedence as + // VHDL expressions, therefore property_implication shall + // have lower precedence than implication. + [ 'implication', 'property_implication' ], + ], // }}} + + rules: { + + design_file: $ => repeat(choice( + $._declaration, + $._sequential_statement, + $._concurrent_statement, + $.design_unit + )), + + // 3.2 Entity declarations {{{ + entity_declaration: $ => seq( + reservedWord('entity'), + field('name',$._identifier), + reservedWord('is'), + optional(alias($._header,$.entity_header)), + optional($.declarative_part), + optional(seq( + reservedWord('begin'), + optional($.concurrent_statement_part) + )), + reservedWord('end'), + optional(reservedWord('entity')), + optional($._end_simple_name), + ';' + ), + + _entity_name: $ => field('entity', choice( + $._simple_name, + $.selected_name + )), + // }}} + // 3.3 Architecture bodies {{{ + architecture_body: $ => seq( + reservedWord('architecture'), + field('name',$._identifier), + reservedWord('of'), + $._entity_name, + reservedWord('is'), + optional($.declarative_part), + reservedWord('begin'), + optional($.concurrent_statement_part), + reservedWord('end'), + optional(reservedWord('architecture')), + optional($._end_simple_name), + ';' + ), + // }}} + // 3.4 Configuration declarations {{{ + configuration_declaration: $ => seq( + reservedWord('configuration'), + field('name',$._identifier), + reservedWord('of'), + $._entity_name, + reservedWord('is'), + // TODO + // Allow any sequence of declarative_item, + // verification_unit_binding_indication and block_configuration + // and use highlight query to highlight the errors + optional($.declarative_part), + repeat($.verification_unit_binding_indication), + optional($.block_configuration), + reservedWord('end'), + optional(reservedWord('configuration')), + optional($._end_simple_name), + ';' + ), + // }}} + // 3.4.2 Block configuration {{{ + block_configuration: $ => seq( + reservedWord('for'), + $._block_specification, + repeat($.use_clause), + repeat($._configuration_item), + reservedWord('end'), + reservedWord('for'), + ';' + ), + + _block_specification: $ => alias( + $.pathname_element, + $.block_specification + ), + + generate_statement_element: $ => prec('generate_statement_element',seq( + field('label', $._simple_name), + '(', + $._generate_specification, + ')' + )), + + _generate_specification: $ => field( + 'specification', + choice( + $._expression, + $._range, + $._name_or_label + ) + ), + + _configuration_item: $ => choice( + $.block_configuration, + $.component_configuration + ), + // }}} + // 3.4.3 Component configuration {{{ + component_configuration: $ => seq( + reservedWord('for'), + $._component_specification, + optional($.binding_indication), + repeat($.verification_unit_binding_indication), + optional($.block_configuration), + reservedWord('end'), + reservedWord('for'), + ';' + ), + // }}} + // 4.2.1 Subprogram declarations {{{ + _subprogram_declaration: $ => choice( + $.procedure_declaration, + $.function_declaration, + ), + + procedure_declaration: $ => seq( + $._procedure_specification, + ';' + ), + + function_declaration: $ => seq( + $._function_specification, + ';' + ), + + _procedure_specification: $ => seq( + optional(choice( + reservedWord('pure'), + reservedWord('impure') + )), + reservedWord('procedure'), + $._designator, + optional(alias($._header,$.subprogram_header)), + optional($.procedure_parameter_clause), + optional($.return) + ), + + _function_specification: $ => seq( + optional(choice( + reservedWord('pure'), + reservedWord('impure') + )), + reservedWord('function'), + $._designator, + optional(alias($._header,$.subprogram_header)), + optional($.function_parameter_clause), + optional($.return) + ), + + return: $ => seq( + optional(','), // LINT: unexpected comma + reservedWord('return'), + $.type_mark, + ), + + _end_designator: $ => field( + 'at_end', + choice( + $._end_simple_name, + $._operator_symbol + ) + ), + + _designator: $ => field('designator',choice( + $._identifier, + $._operator_symbol, + )), + // }}} + // 4.2.2.1 Formal parameter list {{{ + // ref formal_parameter_list + procedure_parameter_clause: $ => seq( + optional(reservedWord('parameter')), + '(', + optional($._procedure_parameter_list), + ')', + ), + + function_parameter_clause: $ => seq( + optional(reservedWord('parameter')), + '(', + optional($._function_parameter_list), + ')', + ), + // }}} + // 4.3 Subprogram bodies {{{ + _subprogram_body: $ => choice( + $.procedure_body, + $.function_body + ), + + procedure_body: $ => seq( + $._procedure_specification, + reservedWord('is'), + optional($.declarative_part), + reservedWord('begin'), + optional($.sequence_of_statements), + reservedWord('end'), + optional($._subprogram_kind), + optional($._end_designator), + ';' + ), + + function_body: $ => seq( + $._function_specification, + reservedWord('is'), + optional($.declarative_part), + reservedWord('begin'), + optional($.sequence_of_statements), + reservedWord('end'), + optional($._subprogram_kind), + optional($._end_designator), + ';' + ), + + _subprogram_kind: $ => field('at_end',seq( + optional(choice( + reservedWord('pure'), + reservedWord('impure') + )), + choice( + reservedWord('procedure'), + reservedWord('function') + ), + )), + // }}} + // 4.4 Subprogram instantiation declarations {{{ + _subprogram_instantiation_declaration: $ => choice( + $.procedure_instantiation_declaration, + $.function_instantiation_declaration + ), + + // LINT + // Procedure shall not have purity + procedure_instantiation_declaration: $ => seq( + optional(choice( + reservedWord('pure'), + reservedWord('impure') + )), + reservedWord('procedure'), + $._designator, + reservedWord('is'), + reservedWord('new'), + $._uninstantiated_name, + optional($.signature), + optional(alias($._header,$.subprogram_map_aspect)), + ';' + ), + + function_instantiation_declaration: $ => seq( + optional(choice( + reservedWord('pure'), + reservedWord('impure') + )), + reservedWord('function'), + $._designator, + reservedWord('is'), + reservedWord('new'), + $._uninstantiated_name, + optional($.signature), + optional(alias($._header,$.subprogram_map_aspect)), + ';' + ), + + _uninstantiated_name: $ => field('uninstantiated', choice( + $.selected_name, + $._simple_name + )), + // }}} + // 4.5.3 Signatures {{{ + signature: $ => seq( + '[', + sepBy(',', $.type_mark), + optional($.return), + ']' + ), + // }}} + // 4.7 Package declarations {{{ + package_declaration: $ => seq( + reservedWord('package'), + field('name',$._identifier), + reservedWord('is'), + optional(alias($._header,$.package_header)), + optional($.declarative_part), + reservedWord('end'), + optional(reservedWord('package')), + optional($._end_simple_name), + ';' + ), + // }}} + // 4.8 Package bodies {{{ + package_body: $ => seq( + reservedWord('package'), + reservedWord('body'), + $._package_name, + reservedWord('is'), + optional($.declarative_part), + reservedWord('end'), + optional(seq( + reservedWord('package'), + reservedWord('body'), + )), + optional($._end_simple_name), + ';' + ), + + _package_name: $ => field('package', $._simple_name), + // }}} + // 4.9 Package instantiation declarations {{{ + package_instantiation_declaration: $ => seq( + reservedWord('package'), + field('name',$._identifier), + reservedWord('is'), + reservedWord('new'), + $._uninstantiated_name, + optional(alias($._header,$.package_map_aspect)), + ';' + ), + // }}} + // 5.2.1 Scalar types {{{ + _scalar_type_definition: $ => choice( + $.enumeration_type_definition, + $._numeric_type_definition, + $.physical_type_definition + ), + + _numeric_type_definition: $ => alias( + $.range_constraint, + $.numeric_type_definition + ), + + range_constraint: $ => seq( + reservedWord('range'), + $._range + ), + + _range: $ => choice( + $.ascending_range, + $.descending_range, + $._range_attribute_name, + ), + + _range_attribute_name: $ => alias( + $.range_attribute_name, + $.attribute_name + ), + + range_attribute_name: $ => seq( + field('prefix', choice( + $._simple_name, + $.selected_name, + $.ambiguous_name, + $.attribute_name, + $._external_object_name, + )), + $._range_attribute_designator, + ), + + ascending_range: $ => prec('range',seq( + field('low', $._simple_expression), + reservedWord('to'), + field('high', $._simple_expression), + )), + + descending_range: $ => prec('range',seq( + field('high', $._simple_expression), + reservedWord('downto'), + field('low', $._simple_expression), + )), + // }}} + // 5.2.2 Enumeration types {{{ + enumeration_type_definition: $ => seq( + '(', + sepBy1(',', $._enumeration_literal), + ')' + ), + + _enumeration_literal: $ => field('literal',choice( + $.character_literal, + $._identifier + )), + // }}} + // 5.2.4 Physical types {{{ + physical_type_definition: $ => seq( + $.range_constraint, + reservedWord('units'), + optional(seq( + $.primary_unit_declaration, + repeat($.secondary_unit_declaration), + )), + reservedWord('end'), + reservedWord('units'), + optional($._end_simple_name) + ), + + primary_unit_declaration: $ => seq( + field('name',$._identifier), + ';' + ), + + secondary_unit_declaration: $ => seq( + field('name',$._identifier), + '=', + choice( + $.physical_literal, + alias( + $._physical_literal, + $.physical_literal + ), + ), + ';' + ), + + _physical_literal: $ => seq( + $._unit + ), + + physical_literal: $ => prec('physical_literal', seq( + $._abstract_literal, + $._unit, + )), + + _unit: $ => field('unit', prec('physical_literal',choice( + $._simple_name, + $.selected_name + ))), + // }}} + // 5.3 Composite types {{{ + _composite_type_definition: $ => choice( + $._array_type_definition, + $.record_type_definition + ), + // }}} + // 5.3.2 Array types {{{ + _array_type_definition: $ => choice( + $.unbounded_array_definition, + $.constrained_array_definition + ), + + unbounded_array_definition: $ => seq( + reservedWord('array'), + '(', + sepBy1(',', $.index_subtype_definition), + ')', + reservedWord('of'), + field('element',$.subtype_indication) + ), + + constrained_array_definition: $ => seq( + reservedWord('array'), + $.index_constraint, + reservedWord('of'), + field('element',$.subtype_indication) + ), + + index_subtype_definition: $ => seq( + $.type_mark, + reservedWord('range'), + $._any + ), + + array_constraint: $ => seq( + $.index_constraint, + optional($._array_element_constraint) + ), + + _array_element_constraint: $ => alias( + $._element_constraint, + $.array_element_constraint + ), + + index_constraint: $ => seq( + '(', + choice( + sepBy1(',', $._discrete_range), + prec.dynamic(99, $.open) + ), + ')', + ), + + _discrete_range: $ => choice( + $.subtype_indication, + $._range, + ), + + open: $ => reservedWord('open'), + // }}} + // 5.3.3 Record types {{{ + record_type_definition: $ => seq( + reservedWord('record'), + repeat($.element_declaration), + reservedWord('end'), + reservedWord('record'), + optional($._end_simple_name) + ), + + element_declaration: $ => seq( + $.identifier_list, + ':', + $.subtype_indication, + ';' + ), + + record_constraint: $ => seq( + '(', + sepBy1(',', $.record_element_constraint), + ')' + ), + + record_element_constraint: $ => prec('record_element_constraint', seq( + field('element', $._simple_name), + $._element_constraint + )), + + identifier_list: $ => sepBy1(',', $._identifier), + // }}} + // 5.4 Access types {{{ + access_type_definition: $ => seq( + reservedWord('access'), + $.subtype_indication + ), + // }}} + // 5.4.2 Incomplete type declaration {{{ + incomplete_type_declaration: $ => seq( + reservedWord('type'), + field('name',$._identifier), + ';' + ), + // }}} + // 5.5 File types {{{ + file_type_definition: $ => seq( + reservedWord('file'), + reservedWord('of'), + $.type_mark + ), + // }}} + // 5.6.2 Protected type declarations {{{ + protected_type_declaration: $ => seq( + reservedWord('protected'), + optional($.declarative_part), + reservedWord('end'), + reservedWord('protected'), + optional($._end_simple_name), + ), + // }}} + // 5.6.2 Protected type bodies {{{ + protected_type_body: $ => seq( + reservedWord('protected'), + reservedWord('body'), + optional($.declarative_part), + reservedWord('end'), + reservedWord('protected'), + reservedWord('body'), + optional($._end_simple_name), + ), + // }}} + // 6. Declarations {{{ + declarative_part: $ => prec.left(repeat1( + $._declaration + )), + + _declaration: $ => prec('declaration',choice( + $._subprogram_declaration, + $._subprogram_body, + $._subprogram_instantiation_declaration, + $.package_declaration, + $.package_body, + $.package_instantiation_declaration, + $._type_declaration, + $.subtype_declaration, + $._object_declaration, + $.alias_declaration, + $.component_declaration, + $.attribute_declaration, + $.attribute_specification, + $.configuration_specification, + $.disconnection_specification, + $.use_clause, + $.group_template_declaration, + $.group_declaration, + $._PSL_Directive, + $._PSL_Declaration + )), + // }}} + // 6.2 Type declarations {{{ + _type_declaration: $ => choice( + $.full_type_declaration, + $.incomplete_type_declaration, + ), + + full_type_declaration: $ => seq( + reservedWord('type'), + field('name',$._identifier), + reservedWord('is'), + $._type_definition, + ';' + ), + + _type_definition: $ => choice( + $._scalar_type_definition, + $._composite_type_definition, + $.access_type_definition, + $.file_type_definition, + $.protected_type_declaration, + $.protected_type_body + ), + // }}} + // 6.3 Subtype declaration {{{ + subtype_declaration: $ => seq( + reservedWord('subtype'), + field('name',$._identifier), + reservedWord('is'), + $.subtype_indication, + ';' + ), + + subtype_indication: $ => seq( + optional($._resolution_indication), + $.type_mark, + optional($._constraint) + ), + + _resolution_indication: $ => choice( + $.resolution_function, + $.record_resolution, + $.parenthesized_resolution, + ), + + resolution_function: $ => prec('resolution_function', choice( + $._simple_name, + $.selected_name + )), + + parenthesized_resolution: $ => seq( + '(', + $._resolution_indication, + ')' + ), + + record_resolution: $ => seq( + '(', + sepBy1(',', $.record_element_resolution), + ')' + ), + + record_element_resolution: $ => prec('record_element_resolution', seq( + field('element', $._simple_name), + $._resolution_indication + )), + + type_mark: $ => prec('type_mark',choice( + $._simple_name, + $.selected_name, + $.attribute_name + )), + + _constraint: $ => choice( + $.range_constraint, + $.array_constraint, + $.record_constraint + ), + + _element_constraint: $ => choice( + $.array_constraint, + $.record_constraint + ), + // }}} + // 6.4.2 Object declarations {{{ + _object_declaration: $ => choice( + $.constant_declaration, + $.signal_declaration, + $.variable_declaration, + $.shared_variable_declaration, + $.file_declaration, + ), + // }}} + // 6.4.2 Constant declarations {{{ + constant_declaration: $ => seq( + reservedWord('constant'), + $.identifier_list, + ':', + $.subtype_indication, + optional($.default_expression), + ';' + ), + // }}} + // 6.4.2.3 Signal declarations {{{ + signal_declaration: $ => seq( + reservedWord('signal'), + $.identifier_list, + ':', + $.subtype_indication, + optional($.signal_kind), + optional($.default_expression), + ';' + ), + + signal_kind: $ => choice( + reservedWord('register'), + reservedWord('bus') + ), + // }}} + // 6.4.2.4 Variable declarations {{{ + variable_declaration: $ => seq( + reservedWord('variable'), + $.identifier_list, + ':', + $.subtype_indication, + optional($.default_expression), + ';' + ), + + shared_variable_declaration: $ => seq( + reservedWord('shared'), + reservedWord('variable'), + $.identifier_list, + ':', + $.subtype_indication, + optional($.default_expression), + ';' + ), + // }}} + // 6.4.2.5 File declarations {{{ + file_declaration: $ => seq( + reservedWord('file'), + $.identifier_list, + ':', + $.subtype_indication, + optional($.file_open_information), + ';' + ), + + file_open_information: $ => seq( + optional(seq( + reservedWord('open'), + $._file_open_kind + )), + reservedWord('is'), + $._file_logical_name + ), + + _file_logical_name: $ => $._string_expression, + // }}} + // 6.5 Interface declarations {{{ + _generic_interface_declaration: $ => choice( + $.constant_interface_declaration, + $.type_interface_declaration, + $._subprogram_interface_declaration, + $.package_interface_declaration, + $._illegal_interface_declaration + ), + + _port_interface_declaration: $ => choice( + $.signal_interface_declaration, + $._illegal_interface_declaration + ), + + // See 6.5.2 and 4.2.2.1 + _procedure_interface_declaration: $ => choice( + $.constant_interface_declaration, + $.signal_interface_declaration, + $.variable_interface_declaration, + $.file_interface_declaration, + $._illegal_interface_declaration + ), + + _function_interface_declaration: $ => choice( + $.constant_interface_declaration, + $.signal_interface_declaration, + $.file_interface_declaration, + $._illegal_interface_declaration + ), + // }}} + // 6.5.2 Interface object declarations {{{ + constant_interface_declaration: $ => prec.dynamic( + PREC.CONSTANT_INTERFACE, + seq( + optional(reservedWord('constant')), + $.identifier_list, + ':', + optional(alias($._constant_mode, $.mode)), + $.subtype_indication, + optional($.default_expression) + ) + ), + + signal_interface_declaration: $ => prec.dynamic( + PREC.SIGNAL_INTERFACE, + seq( + optional(reservedWord('signal')), + $.identifier_list, + ':', + optional(alias($._signal_mode, $.mode)), + $.subtype_indication, + optional($.signal_kind), + optional($.default_expression) + ) + ), + + variable_interface_declaration: $ => prec.dynamic( + PREC.VARIABLE_INTERFACE, + seq( + optional(reservedWord('variable')), + $.identifier_list, + ':', + optional(alias($._variable_mode, $.mode)), + $.subtype_indication, + optional($.default_expression) + ) + ), + + file_interface_declaration: $ => seq( + reservedWord('file'), + $.identifier_list, + ':', + optional(alias($._signal_mode, $.mode)), // ILLEGAL, LINT + $.subtype_indication, + optional($.default_expression) // ILLEGAL, LINT + ), + + // DO NOT LINE + _in: $ => reservedWord('in'), + _out: $ => reservedWord('out'), + _inout: $ => reservedWord('inout'), + _buffer: $ => reservedWord('buffer'), + _linkage: $ => reservedWord('linkage'), + + // DO NOT INLINE + _constant_mode: $ => choice( + prec.dynamic( 1, $._in), + prec.dynamic(-3, $._out), + prec.dynamic(-3, $._inout), + prec.dynamic(-3, $._buffer), + prec.dynamic(-3, $._linkage), + ), + + // DO NOT INLINE + _variable_mode: $ => choice( + prec.dynamic( 1, $._in), + prec.dynamic( 1, $._out), + prec.dynamic( 1, $._inout), + prec.dynamic(-2, $._buffer), + prec.dynamic(-2, $._linkage), + ), + + // DO NOT INLINE + _signal_mode: $ => choice( + prec.dynamic(1, $._in), + prec.dynamic(1, $._out), + prec.dynamic(1, $._inout), + prec.dynamic(1, $._buffer), + prec.dynamic(1, $._linkage), + ), + + _illegal_interface_declaration: $ => prec.dynamic( + PREC.ILLEGAL_INTERFACE, + choice( + $.constant_interface_declaration, + $.signal_interface_declaration, + $.variable_interface_declaration, + $.file_interface_declaration, + $.type_interface_declaration, + $._subprogram_interface_declaration, + $.package_interface_declaration + ) + ), + // }}} + // 6.5.3 Interface type declarations {{{ + type_interface_declaration: $ => seq( + reservedWord('type'), + field('name',$._identifier), + ), + // }}} + // 6.5.4 Interface subprogram declarations {{{ + _subprogram_interface_declaration: $ => choice( + $.procedure_interface_declaration, + $.function_interface_declaration + ), + + procedure_interface_declaration: $ => seq( + $._procedure_specification, + optional(seq( + reservedWord('is'), + $.interface_subprogram_default + )) + ), + + function_interface_declaration: $ => seq( + $._function_specification, + optional(seq( + reservedWord('is'), + $.interface_subprogram_default + )) + ), + + interface_subprogram_default: $ => choice( + $._simple_name, + $.selected_name, + $._operator_symbol, + alias('<>', $.same), + ), + // }}} + // 6.5.5 Interface package declarations {{{ + package_interface_declaration: $ => seq( + reservedWord('package'), + field('name',$._identifier), + reservedWord('is'), + reservedWord('new'), + $._uninstantiated_name, + optional(alias($._header,$.package_map_aspect)), + ), + // }}} + // 6.5.6.1 Interface lists {{{ + // LINT: Semicolon after last declaration + _generic_interface_list: $ => seq( + sepBy1(';', $._generic_interface_declaration), + ), + + _port_interface_list: $ => seq( + sepBy1(';', $._port_interface_declaration), + ), + + _procedure_parameter_list: $ => seq( + sepBy1(';', $._procedure_interface_declaration), + ), + + _function_parameter_list: $ => seq( + sepBy1(';', $._function_interface_declaration), + ), + // }}} + // 6.5.6.2 Generic clauses {{{ + generic_clause: $ => seq( + reservedWord('generic'), + '(', + optional($._generic_interface_list), + ')', + optional($._semicolon) + ), + + _semicolon: $ => alias(';', $.semicolon), + // }}} + // 6.5.6.3 Port clauses {{{ + port_clause: $ => seq( + reservedWord('port'), + '(', + optional($._port_interface_list), + ')', + optional($._semicolon) + ), + // }}} + // 6.5.7 Association lists {{{ + // LINT: NAMED association element shall NOT be followed by + // POSITIONAL association element. + // NOTE: The correct ordering is deliberatedly not enforced + // by the parser. Tree-sitter does not support custom + // error recovery yet. + // the query. + // NOTE: Having different rules for positional and named + // association simplifies writing queries. + + association_list: $ => sepBy1(',', $._association_element), + + _association_element: $ => choice( + $.positional_association_element, + $.named_association_element + ), + + positional_association_element: $ => seq( + $._actual_part + ), + + named_association_element: $ => seq( + $._formal_part, + delimiter('=>'), + $._actual_part + ), + + _formal_part: $ => field( + 'formal_part', + choice( + $._name, + $.others + ), + ), + + _actual_part: $ => field( + 'actual_part', + choice( + $._expression, + $.inertial_expression, + prec.dynamic(-1, $.subtype_indication), + prec.dynamic(99, $.open), + // used to resolve conflicts + // between ambiguous_name and function_call: + // _name '(' character_literal ')' + // _name '(' string_literal ')' + prec.dynamic(2, $.character_literal), + prec.dynamic(2, $.string_literal), + ), + ), + // }}} + // 6.5.7.2 Generic map aspects {{{ + generic_map_aspect: $ => seq( + reservedWord('generic'), + reservedWord('map'), + '(', + optional(choice( + $.association_list, + $.default, + $._any + )), + ')', + optional($._semicolon), + ), + + default: $ => reservedWord('default'), + _any: $ => alias('<>', $.any), + // }}} + // 6.5.7.3 Port map aspects {{{ + port_map_aspect: $ => seq( + reservedWord('port'), + reservedWord('map'), + '(', + optional($.association_list), + ')', + optional($._semicolon) + ), + // }}} + // HEADER and MAP_ASPECTS {{{ + _header: $ => seq( + $._clause, + optional($._clause), + optional($._clause), + optional($._clause), + ), + + _clause: $ => choice( + $.generic_clause, + $.generic_map_aspect, + $.port_clause, + $.port_map_aspect, + ), + // }}} + // 6.6 Alias declarations {{{ + alias_declaration: $ => seq( + reservedWord('alias'), + $._alias_designator, + optional(seq( + ':', + $.subtype_indication + )), + reservedWord('is'), + $._alias_denotator, + optional($.signature), + ';' + ), + + _alias_designator: $ => field( + 'designator', + choice( + $._identifier, + $.character_literal, + $._operator_symbol + ) + ), + + _alias_denotator: $ => field( + 'denotator', + choice( + $._simple_name, + $.character_literal, + $.selected_name, + $.ambiguous_name, + $.slice_name, + $.attribute_name, + $._external_object_name, + ) + ), + + _operator_symbol: $ => alias( + $.string_literal, + $.operator_symbol + ), + // }}} + // 6.7 Attribute declarations {{{ + attribute_declaration: $ => seq( + reservedWord('attribute'), + field('name',$._identifier), + ':', + $.type_mark, + ';' + ), + // }}} + // 6.8 Component declarations {{{ + component_declaration: $ => prec('component_declaration',seq( + reservedWord('component'), + field('name',$._identifier), + optional(reservedWord('is')), + optional(alias($._header,$.component_header)), + reservedWord('end'), + reservedWord('component'), + optional($._end_simple_name), + ';' + )), + // }}} + // 6.9 Group template declarations {{{ + group_template_declaration: $ => seq( + reservedWord('group'), + field('name',$._identifier), + reservedWord('is'), + '(', + $.entity_class_entry_list, + ')', + ';' + ), + + entity_class_entry_list: $ => sepBy1(',', $.entity_class_entry), + + entity_class_entry: $ => seq( + $.entity_class, + optional($._any) + ), + // }}} + // 6.10 Group declarations {{{ + group_declaration: $ => seq( + reservedWord('group'), + field('name',$._identifier), + ':', + $._group_template, + '(', + $.group_constituent_list, + ')', + ';' + ), + + group_constituent_list: $ => prec( + 'group_constituent_list', + sepBy1(',', $._group_constituent), + ), + + _group_constituent: $ => choice( + $._simple_name, + $.selected_name, + $.character_literal + ), + + _group_template: $ => field( + 'template', + choice( + $._simple_name, + $.selected_name + ) + ), + // }}} + // 7.2 Specifications {{{ + attribute_specification: $ => seq( + reservedWord('attribute'), + field('name',$._simple_name), + reservedWord('of'), + $.entity_specification, + reservedWord('is'), + $._expression, + ';' + ), + + entity_name_list: $ => choice( + sepBy1(',', $.entity_designator), + $.others, + $.all + ), + + entity_specification: $ => seq( + $.entity_name_list, + ':', + $.entity_class + ), + + entity_class: $ => choice( + reservedWord('entity'), + reservedWord('architecture'), + reservedWord('configuration'), + reservedWord('procedure'), + reservedWord('function'), + reservedWord('package'), + reservedWord('type'), + reservedWord('subtype'), + reservedWord('constant'), + reservedWord('signal'), + reservedWord('variable'), + reservedWord('component'), + reservedWord('label'), + reservedWord('literal'), + reservedWord('units'), + reservedWord('group'), + reservedWord('file'), + reservedWord('property'), + reservedWord('sequence'), + ), + + entity_designator: $ => seq( + $._entity_tag, + optional($.signature) + ), + + _entity_tag: $ => choice( + $._simple_name, + $.character_literal, + $._operator_symbol + ), + // }}} + // 7.3 Configuration specification {{{ + configuration_specification: $ => prec.right(seq( + reservedWord('for'), + // not-optional, used to improve error recovery + optional(seq( + $._component_specification, + $.binding_indication, + optional(seq( + repeat($.verification_unit_binding_indication), + reservedWord('end'), + reservedWord('for'), + ';' + )) + )) + )), + + _component_specification: $ => seq( + $.instantiation_list, + ':', + $._component_name + ), + + instantiation_list: $ => choice( + sepBy1(',', $._simple_name), + $.others, + $.all + ), + + all: $ => reservedWord('all'), + + // TODO: Does it allow expanded name? + _component_name: $ => field( + 'component', + $._simple_name + ), + // }}} + // 7.3.2 Binding indication {{{ + binding_indication: $ => seq( + optional(seq( + reservedWord('use'), + $._entity_aspect, + )), + optional($._header), + ';' + ), + + // LINT: component_instantiation shall not be present in + // binding indication + _entity_aspect: $ => choice( + $.entity_instantiation, + $.configuration_instantiation, + $.component_instantiation, + $.open + ), + // }}} + // 7.3.4 Verification unit binding indication {{{ + verification_unit_binding_indication: $ => seq( + reservedWord('use'), + reservedWord('vunit'), + $.verification_unit_list, + ';' + ), + + verification_unit_list: $ => sepBy1( + ',', + choice( + $._simple_name, + $.selected_name, + ) + ), + // }}} + // 7.4 Disconnection specification {{{ + disconnection_specification: $ => seq( + reservedWord('disconnect'), + $.guarded_signal_specification, + $._after, + ';' + ), + + guarded_signal_specification: $ => seq( + $.signal_list, + ':', + $.type_mark, + ), + + signal_list: $ => choice( + sepBy1(',', $._signal_name), + $.others, + $.all + ), + // }}} + // 8 Names {{{ + _name: $ => choice( + $._simple_name, + $.character_literal, + $.selected_name, + $.ambiguous_name, + $.slice_name, + $.attribute_name, + $._external_object_name, + ), + + _simple_name: $ => prec('simple_name',choice( + alias($.basic_identifier, $.simple_name), + alias($.extended_identifier, $.extended_simple_name) + )), + + _end_simple_name: $ => field( + 'at_end', + $._simple_name + ), + // }}} + // 8.3 Selected names {{{ + // LINT + // Only expanded names shall have character_literal and operator_symbol + // as suffix + selected_name: $ => seq( + field('prefix', choice( + $._simple_name, + $.selected_name, + $.ambiguous_name, + $.slice_name, + $._external_object_name, + )), + token.immediate('.'), + field('suffix', choice( + $._simple_name, + $.character_literal, + $._operator_symbol, + $.all // allowed on access value + )), + ), + // }}} + // 8.4 Indexed name (Ambiguos name) {{{ + // foo (bar) + // - function call + // - type conversion + // - slice name + // - indexed name + // foo (bar, baz) + // - function call + // - indexed name + // prefix (foo) + // - slice name + // - indexed name + ambiguous_name: $ => seq( + field('prefix', choice( + $._simple_name, + $.selected_name, + $.ambiguous_name, + $.function_call + )), + '(', + $.expression_list, + ')' + ), + + expression_list: $ => sepBy1(',', $._expression), + // }}} + // 8.5 Slice name {{{ + slice_name: $ => seq( + field('prefix', choice( + $._simple_name, + $.ambiguous_name, + $.selected_name, + $.slice_name, + $.function_call, + )), + '(', + $._range, + ')' + ), + // }}} + // 8.6 Attribute names {{{ + attribute_name: $ => prec('attribute_name',seq( + field('prefix', choice( + $._simple_name, + $.selected_name, + $.ambiguous_name, // indexed_name allowed + $.attribute_name, + $.function_call, + $._external_object_name, + )), + optional($.signature), + choice( + $._attribute_designator, + $._predefined_attribute_designator, + $._predefined_attribute_designator_with_expression, + ), + )), + + + _attribute_designator: $ => seq( + token('\''), + field('designator', $._simple_name), + ), + + _predefined_attribute_designator: $ => prec.dynamic(1, seq( + token('\''), + field('designator', alias($._predefined_designator, $.predefined_designator)), + )), + + // Only predefined attributes shall have expression + _predefined_attribute_designator_with_expression: $ => prec.dynamic(2, prec.right(seq( + token('\''), + field('designator', alias($._predefined_designator_with_expression, $.predefined_designator)), + '(', + $._expression, + ')' + ))), + + _range_attribute_designator: $ => seq( + token('\''), + field('designator', alias($._range_designator, $.predefined_designator)), + optional(seq( + '(', + $._expression, + ')', + )) + ), + + // DO NOT INLINE + _predefined_designator: $ => choice( + ...PREDEFINED_ATTRIBUTE_DESIGNATOR.map(attr => reservedWord(attr)) + ), + + // DO NOT INLINE + _predefined_designator_with_expression: $ => choice( + ...PREDEFINED_ATTRIBUTE_DESIGNATOR_WITH_EXPRESSION.map(attr => reservedWord(attr)) + ), + + // DO NOT INLINE + _range_designator: $ => choice( + ...RANGE_ATTRIBUTE_DESIGNATOR.map(attr => reservedWord(attr)) + ), + // }}} + // 8.7 External names {{{ + _external_object_name: $ => choice( + $.external_constant_name, + $.external_signal_name, + $.external_variable_name + ), + + external_constant_name: $ => seq( + delimiter('<<'), + reservedWord('constant'), + $._external_pathname, + ':', + $.subtype_indication, + delimiter('>>') + ), + + external_signal_name: $ => seq( + delimiter('<<'), + reservedWord('signal'), + $._external_pathname, + ':', + $.subtype_indication, + delimiter('>>') + ), + + external_variable_name: $ => seq( + delimiter('<<'), + reservedWord('variable'), + $._external_pathname, + ':', + $.subtype_indication, + delimiter('>>') + ), + + _external_pathname: $ => choice( + $.package_pathname, + $.absolute_pathname, + $.relative_pathname + ), + + package_pathname: $ => seq( + '@', + field('library', $._simple_name), + '.', + sepBy1('.', field('package',$._simple_name)), + '.', + field('object', $._simple_name), + ), + + absolute_pathname: $ => seq( + '.', $._partial_pathname + ), + + relative_pathname: $ => seq( + repeat('^.'), + $._partial_pathname + ), + + _partial_pathname: $ => seq( + repeat(seq( + $.pathname_element, '.' + )), + field('object', $._simple_name), + ), + + pathname_element: $ => choice( + $._name_or_label, + $.generate_statement_element, + ), + + _name_or_label: $ => field( + 'name_or_label', + $._simple_name + ), + // }}} + // 9. Expressions {{{ + _expr: $ => choice( + $._unary_expression, + $._binary_expression, + $._primary + ), + + _unary_expression: $ => choice( + $.condition, + $.reduction, + $.sign, + $.factor + ), + + _binary_expression: $ => choice( + $.logical_expression, + $.relation, + $.shift_expression, + $.simple_expression, + $.concatenation, + $.term, + $.exponentiation, + ), + + _primary: $ => prec('primary',choice( + $._name, + $._literal, + $.aggregate, + $.qualified_expression, + $.allocator, + $.parenthesized_expression, + $.function_call, + )), + + // Named expressions + _expression: $ => alias( + $._expr, + $.expression + ), + + _condition: $ => alias( + $._expr, + $.conditional_expression + ), + + _simple_expression: $ => alias( + $._expr, + $.simple_expression + ), + + _time_expression: $ => alias( + $._expr, + $.time_expression + ), + + _string_expression: $ => alias( + $._expr, + $.string_expression + ), + + _severity_expression: $ => alias( + $._primary, + $.severity_expression + ), + + _file_open_kind: $ => alias( + $._primary, + $.file_open_kind + ), + + // Special expressions + default_expression: $ => seq( + ':=', + $._expr, + ), + + inertial_expression: $ => seq( + reservedWord('inertial'), + $._expr + ), + + parenthesized_expression: $ => prec('parenthesized_expression',seq( + '(', + $._expr, + ')' + )), + + // Unary expressions + // LINT: condition shall not have expression as parent + condition: $ => prec('condition', seq( + field('operator', delimiter('??')), + $._expr + )), + + // LINT: reduction shall not have expression as parent + reduction: $ => prec('reduction', seq( + field('operator', choice(...['and', 'or', 'xor', 'nand', 'nor', 'xnor'].map(op => reservedWord(op)))), + $._expr + )), + + // LINT: factor shall not have SOME expression as parent + factor: $ => prec.right('factor', seq( + field('operator', choice(...['not', 'abs'].map(op => reservedWord(op)))), + $._expr + )), + + // LINT: sign shall not have SOME expression as parent + sign: $ => prec('sign', seq( + field('operator', choice(...['+', '-'].map(op => delimiter(op)))), + $._expr + )), + + // Binary expressions + // LINT: nor and nand are non associative + // LINT: other operators are only associative with themselves + logical_expression: $ => prec.right('logical_expression',seq( + $._expr, + choice( + // associative operators + repeat1(prec.left('logical_expression',seq(field('operator', reservedWord('and' )), $._expr))), + repeat1(prec.left('logical_expression',seq(field('operator', reservedWord('or' )), $._expr))), + repeat1(prec.left('logical_expression',seq(field('operator', reservedWord('xor' )), $._expr))), + repeat1(prec.left('logical_expression',seq(field('operator', reservedWord('xnor')), $._expr))), + // non associative operators + seq(prec.left('logical_expression',seq(field('operator', reservedWord('nand')), $._expr))), + seq(prec.left('logical_expression',seq(field('operator', reservedWord('nor' )), $._expr))), + ), + )), + + // LINT: relations are not associative + relation: $ => prec.right('relation', seq( + $._expr, + field('operator', choice(...['<', '>', '=', '<=', '>=', '/=', '?<', '?>', '?=', '?<=', '?>=', '?/='].map(op => delimiter(op)))), + $._expr + )), + + // LINT: shift expressions are not associative + shift_expression: $ => prec.right('shift_expression', seq( + $._expr, + field('operator', choice(...['sll', 'srl', 'sla', 'sra', 'rol', 'ror'].map(op => reservedWord(op)))), + $._expr, + )), + + // LINT: operand shall not be sign + simple_expression: $ => prec.right('simple_expression', seq( + $._expr, + repeat1(prec.left('simple_expression',seq( + field('operator', choice( + ...['+', '-'].map(op => delimiter(op)) + )), + $._expr + ))), + )), + + concatenation: $ => prec.right('simple_expression', seq( + $._expr, + repeat1(prec.left('simple_expression',seq( + field('operator', delimiter('&')), + $._expr + ))), + )), + + term: $ => prec.right('term', seq( + $._expr, + choice( + repeat1(prec.left('term',seq( + field('operator', choice( + ...['*', '/'].map(op => delimiter(op)), + ...['rem', 'mod'].map(op => reservedWord(op)), + )), + $._expr + ))), + ) + )), + + // LINT: exponentiation are not associative + exponentiation: $ => prec.left('exponentiation', seq( + $._expr, + field('operator', choice(...['**'].map(op => delimiter(op)))), + $._expr + )), + // }}} + // 9.3.2 Literals {{{ + _literal: $ => choice( + $._numeric_literal, + $.string_literal, + $.bit_string_literal, + $.null, + $.character_literal, + ), + + _numeric_literal: $ => choice( + $._abstract_literal, + $.physical_literal + ), + + null: $ => reservedWord('null'), + // }}} + // 9.3.3 Aggregates {{{ + aggregate: $ => prec(-1, seq( + '(', + $._element_association_list, + ')' + )), + + _element_association_list: $ => choice( + $.named_element_association, + seq( + $._element_association, + ',', + sepBy1(',', $._element_association), + ), + ), + + _element_association: $ => choice( + $.positional_element_association, + $.named_element_association, + ), + + positional_element_association: $ => seq( + $._value + ), + + named_element_association: $ => prec(-1, seq( + $.choices, + delimiter('=>'), + $._value + )), + + _value: $ => $._expression, + + choices: $ => sepBy1('|', $._choice), + + _choice: $ => choice( + $._simple_expression, + $._range, + $.others + ), + + others: $ => reservedWord('others'), + // }}} + // 9.3.4 Function call {{{ + function_call: $ => seq( + $._function_name, + '(', + $.association_list, + ')' + ), + + _function_name: $ => field( + 'function', + choice( + $._simple_name, + $._operator_symbol, + $.selected_name, + $.attribute_name, + ) + ), + // }}} + // 9.3.5 Qualified expressions {{{ + qualified_expression: $ => seq( + $.type_mark, + token('\''), + choice( + $.aggregate, + alias($.parenthesized_expression,$.expression), + ), + ), + // }}} + // 9.3.7 Allocators {{{ + allocator: $ => seq( + reservedWord('new'), + choice( + $.subtype_indication, + $.qualified_expression + ) + ), + // }}} + // 10.1 Sequential statements {{{ + sequence_of_statements: $ => repeat1( + $._sequential_statement + ), + + _sequential_statement: $ => prec('sequential_statement',choice( + $.process_statement, + $.wait_statement, + $.assertion_statement, + $.report_statement, + $._signal_assignment_statement, + $._variable_assignment_statement, + $.procedure_call_statement, + $.if_statement, + $.case_statement, + $.loop_statement, + $.next_statement, + $.exit_statement, + $.return_statement, + $.null_statement, + $._PSL_Directive, + $._PSL_Declaration, + )), + // }}} + // 10.2 Wait statement {{{ + wait_statement: $ => seq( + optional($.label), + reservedWord('wait'), + optional($._sensitivity_clause), + optional($._condition_clause), + optional($._timeout_clause), + ';' + ), + + _sensitivity_clause: $ => seq( + reservedWord('on'), + $.sensitivity_list + ), + + _condition_clause: $ => seq( + reservedWord('until'), + $._condition + ), + + _timeout_clause: $ => seq( + reservedWord('for'), + $._time_expression + ), + + // LINT + // all is not allowed on sensitivy clause + sensitivity_list: $ => choice( + $.all, + sepBy1(',', $._signal_name), + ), + + _signal_name: $ => $._name, + // }}} + // 10.3 Assertion statement {{{ + assertion_statement: $ => prec('vhdl_assertion',seq( + optional($.label), + optional(reservedWord('postponed')), + reservedWord('assert'), + $._condition, + optional($._report), + optional($._severity), + ';' + )), + // }}} + // 10.4 Report statement {{{ + report_statement: $ => seq( + optional($.label), + $._report, + optional($._severity), + ';' + ), + + _report: $ => seq( + reservedWord('report'), + $._string_expression + ), + + _severity: $ => seq( + reservedWord('severity'), + $._severity_expression + ), + // }}} + // 10.5 Signal assignments {{{ + _signal_assignment_statement: $ => choice( + $._simple_signal_assignment, + $._conditional_signal_assignment, + $._selected_signal_assignment, + ), + // }}} + // 10.5.2 Simple signal assignments {{{ + _simple_signal_assignment: $ => choice( + $.simple_waveform_assignment, + $.simple_force_assignment, + $.simple_release_assignment + ), + + simple_waveform_assignment: $ => seq( + optional($.label), + $._target, + '<=', + optional(reservedWord('guarded')), + optional($.delay_mechanism), + $.waveforms, + ';' + ), + + simple_force_assignment: $ => seq( + optional($.label), + $._target, + '<=', + optional(reservedWord('guarded')), + reservedWord('force'), + optional($.force_mode), + optional($._value), + ';' + ), + + simple_release_assignment: $ => seq( + optional($.label), + $._target, + '<=', + optional(reservedWord('guarded')), + reservedWord('release'), + optional($.force_mode), + ';' + ), + + force_mode: $ => choice( + $._in, + $._out + ), + + delay_mechanism: $ => choice( + $.transport, + $.inertial, + ), + + transport: $ => reservedWord('transport'), + + inertial: $ => seq( + optional($._reject), + reservedWord('inertial') + ), + + _reject: $ => seq( + reservedWord('reject'), + field('reject', $._time_expression), + ), + + _target: $ => field( + 'target', + choice( + $._name, + $.aggregate + ), + ), + + waveforms: $ => choice( + $.unaffected, + sepBy1(',', $.waveform_element) + ), + + unaffected: $ => reservedWord('unaffected'), + + waveform_element: $ => seq( + $._value, + optional($._after) + ), + + _after: $ => seq( + reservedWord('after'), + $._time_expression + ), + // }}} + // 10.5.3 Conditional signal assignments {{{ + _conditional_signal_assignment: $ => choice( + $.conditional_waveform_assignment, + $.conditional_force_assignment + ), + + conditional_waveform_assignment: $ => prec(1,seq( + optional($.label), + $._target, + '<=', + optional(reservedWord('guarded')), + optional($.delay_mechanism), + $.conditional_waveforms, + ';' + )), + + _when_clause: $ => seq( + reservedWord('when'), + $._condition + ), + + conditional_waveforms: $ => seq( + $.waveforms, + $._when_clause, + repeat($.alternative_conditional_waveforms), + ), + + alternative_conditional_waveforms: $ => seq( + reservedWord('else'), + $.waveforms, + optional($._when_clause) + ), + + conditional_force_assignment: $ => seq( + $._target, + '<=', + reservedWord('force'), + optional($.force_mode), + $.conditional_expressions, + ';' + ), + + conditional_expressions: $ => seq( + $._value, + $._when_clause, + repeat($.alternative_conditional_expressions), + ), + + alternative_conditional_expressions: $ => seq( + reservedWord('else'), + $._value, + optional($._when_clause) + ), + // }}} + // 10.5.4 Selected signal assignments {{{ + _selected_signal_assignment: $ => choice( + $.selected_waveform_assignment, + $.selected_force_assignment + ), + + selected_waveform_assignment: $ => seq( + optional($.label), + reservedWord('with'), + $._expression, + reservedWord('select'), + optional(delimiter('?')), + $._target, + '<=', + optional(reservedWord('guarded')), + optional($.delay_mechanism), + $.selected_waveforms, + ';' + ), + + selected_force_assignment: $ => seq( + optional($.label), + reservedWord('with'), + $._expression, + reservedWord('select'), + optional(delimiter('?')), + $._target, + '<=', + reservedWord('force'), + optional($.force_mode), + $.selected_expressions, + ';' + ), + + selected_waveforms: $ => seq( + $.waveforms, + reservedWord('when'), + $.choices, + repeat($.alternative_selected_waveforms) + ), + + alternative_selected_waveforms: $ => seq( + ',', + $.waveforms, + reservedWord('when'), + $.choices, + ), + + selected_expressions: $ => seq( + $._value, + reservedWord('when'), + $.choices, + repeat($.alternative_selected_expressions) + ), + + alternative_selected_expressions: $ => seq( + ',', + $._value, + reservedWord('when'), + $.choices, + ), + // }}} + // 10.6 Variable assignments {{{ + _variable_assignment_statement: $ => choice( + $.simple_variable_assignment, + $.conditional_variable_assignment, + $.selected_variable_assignment, + ), + // }}} + // 10.6.2 Simple variable assignments {{{ + simple_variable_assignment: $ => prec(1,seq( + optional($.label), + $._target, + ':=', + $._value, + ';' + )), + // }}} + // 10.6.3 Conditional variable assignments {{{ + conditional_variable_assignment: $ => seq( + optional($.label), + $._target, + ':=', + $.conditional_expressions, + ';' + ), + // }}} + // 10.6.4 Selected variable assignments {{{ + selected_variable_assignment: $ => seq( + optional($.label), + reservedWord('with'), + $._expression, + reservedWord('select'), + optional(delimiter('?')), + $._target, + ':=', + $.selected_expressions, + ';' + ), + // }}} + // 10.7 Procedure call statement {{{ + procedure_call_statement: $ => prec('procedure_call', seq( + optional($.label), + optional(reservedWord('postponed')), + field('procedure', choice( + $._simple_name, + $.selected_name + )), + optional(seq( + '(', + $.association_list, + ')', + )), + ';' + )), + // }}} + // 10.8 If statement {{{ + if_statement: $ => seq( + optional($.label), + $.if, + repeat($.elsif), + optional($.else), + reservedWord('end'), + reservedWord('if'), + optional($._end_simple_name), + ';' + ), + + if: $ => seq( + reservedWord('if'), + $._condition, + reservedWord('then'), + optional($.sequence_of_statements), + ), + + elsif: $ => seq( + reservedWord('elsif'), + $._condition, + reservedWord('then'), + optional($.sequence_of_statements) + ), + + else: $ => seq( + reservedWord('else'), + optional($.sequence_of_statements) + ), + // }}} + // 10.9 Case statement {{{ + case_statement: $ => seq( + optional($.label), + reservedWord('case'), + optional(delimiter('?')), + $._expression, + reservedWord('is'), + repeat($.case_statement_alternative), + reservedWord('end'), + reservedWord('case'), + optional(delimiter('?')), + optional($._end_simple_name), + ';' + ), + + case_statement_alternative: $ => seq( + reservedWord('when'), + $.choices, + delimiter('=>'), + optional($.sequence_of_statements) + ), + // }}} + // 10.10 Loop statement {{{ + loop_statement: $ => seq( + optional($.label), + optional($._iteration_scheme), + reservedWord('loop'), + optional($.sequence_of_statements), + reservedWord('end'), + reservedWord('loop'), + optional($._end_simple_name), + ';' + ), + + _iteration_scheme: $ => choice( + $.while_loop, + $.for_loop + ), + + while_loop: $ => seq( + reservedWord('while'), + $._condition, + ), + + for_loop: $ => seq( + reservedWord('for'), + $.parameter_specification + ), + + parameter_specification: $ => seq( + field('name',$._identifier), + reservedWord('in'), + $._discrete_range + ), + // }}} + // 10.11 Next statement {{{ + next_statement: $ => seq( + optional($.label), + reservedWord('next'), + optional($._loop_label), + optional($._when_clause), + ';' + ), + + _loop_label: $ => field( + 'loop_label', + $._simple_name + ), + // }}} + // 10.12 Exit statement {{{ + exit_statement: $ => seq( + optional($.label), + reservedWord('exit'), + optional($._loop_label), + optional($._when_clause), + ';' + ), + // }}} + // 10.13 Return statement {{{ + return_statement: $ => seq( + optional($.label), + reservedWord('return'), + optional($._expression), + ';' + ), + // }}} + // 10.14 Null statement {{{ + null_statement: $ => seq( + optional($.label), + reservedWord('null'), + ';' + ), + // }}} + // 11 Concurrent statements {{{ + concurrent_statement_part: $ => repeat1( + $._concurrent_statement + ), + + _concurrent_statement: $ => prec('concurrent_statement', choice( + $.block_statement, + $.process_statement, + $.component_instantiation_statement, + $.procedure_call_statement, + $.assertion_statement, + $._concurrent_signal_assignment, + $._generate_statement, + $._PSL_Directive, + $._PSL_Declaration + )), + // }}} + // 11.2 Block statement {{{ + block_statement: $ => seq( + optional($.label), + reservedWord('block'), + optional(seq( + '(', + $._guard, + ')' + )), + optional(reservedWord('is')), + optional(alias($._header,$.block_header)), + optional($.declarative_part), + reservedWord('begin'), + optional($.concurrent_statement_part), + reservedWord('end'), + reservedWord('block'), + optional($._end_simple_name), + ';' + ), + + _guard: $ => field( + 'guard', + $._condition + ), + // }}} + // 11.3 Process statement {{{ + process_statement: $ => seq( + optional($.label), + optional(reservedWord('postponed')), + reservedWord('process'), + optional(seq( + '(', + $.sensitivity_list, + ')' + )), + optional(reservedWord('is')), + optional($.declarative_part), + reservedWord('begin'), + optional($.sequence_of_statements), + reservedWord('end'), + optional(reservedWord('postponed')), + reservedWord('process'), + optional($._end_simple_name), + ';' + ), + // }}} + // 11.6 Concurrent signal assignments {{{ + _concurrent_signal_assignment: $ => choice( + alias( + $.simple_waveform_assignment, + $.simple_concurrent_signal_assignment + ), + alias( + $.conditional_waveform_assignment, + $.conditional_concurrent_signal_assignment + ), + alias( + $.selected_waveform_assignment, + $.selected_concurrent_signal_assignment + ) + ), + // }}} + // 11.7 Component instantiation statements {{{ + // LINT entity aspect shall not be open + component_instantiation_statement: $ => seq( + optional($.label), + $._entity_aspect, + optional(alias($._header,$.component_map_aspect)), + ';' + ), + + entity_instantiation: $ => seq( + reservedWord('entity'), + field('entity', choice( + $._simple_name, + $.selected_name, + )), + optional(seq( + '(', + field('architecture',$._simple_name), + ')' + )) + ), + + configuration_instantiation: $ => seq( + reservedWord('configuration'), + field('configuration', choice( + $._simple_name, + $.selected_name, + )) + ), + + component_instantiation: $ => prec('component_instantiation',seq( + optional(reservedWord('component')), + field('component', choice( + $._simple_name, + $.selected_name, + )), + )), + // }}} + // 11.8 Generate statements {{{ + _generate_statement: $ => choice( + $.for_generate_statement, + $.if_generate_statement, + $.case_generate_statement, + ), + + for_generate_statement: $ => seq( + optional($.label), + reservedWord('for'), + $.parameter_specification, + reservedWord('generate'), + optional($.generate_statement_body), + reservedWord('end'), + reservedWord('generate'), + optional($._end_simple_name), + ';' + ), + + if_generate_statement: $ => seq( + optional($.label), + $.if_generate, + repeat($.elsif_generate), + optional($.else_generate), + reservedWord('end'), + reservedWord('generate'), + optional($._end_simple_name), + ';' + ), + + if_generate: $ => seq( + reservedWord('if'), + optional($.label), + $._condition, + reservedWord('generate'), + optional($.generate_statement_body), + ), + + elsif_generate: $ => seq( + reservedWord('elsif'), + optional($.label), + $._condition, + reservedWord('generate'), + optional($.generate_statement_body) + ), + + else_generate: $ => seq( + reservedWord('else'), + optional($.label), + reservedWord('generate'), + optional($.generate_statement_body) + ), + + case_generate_statement: $ => seq( + optional($.label), + reservedWord('case'), + $._expression, + reservedWord('generate'), + repeat($.case_generate_alternative), + reservedWord('end'), + reservedWord('generate'), + optional($._end_simple_name), + ';' + ), + + case_generate_alternative: $ => seq( + reservedWord('when'), + optional($.label), + $.choices, + delimiter('=>'), + optional($.generate_statement_body) + ), + + generate_statement_body: $ => choice( + // with begin + seq( + optional($.declarative_part), + reservedWord('begin'), + repeat($._concurrent_statement), + optional(seq( + reservedWord('end'), + optional($._end_simple_name), + ';' + )), + ), + // with end + seq( + optional(seq( + optional($.declarative_part), + reservedWord('begin'), + )), + repeat($._concurrent_statement), + reservedWord('end'), + optional($._end_simple_name), + ';' + ), + // without both + repeat1($._concurrent_statement), + ), + + label: $ => seq( + $._identifier, + ':' + ), + // }}} + // 12.4 Use clauses {{{ + use_clause: $ => seq( + reservedWord('use'), + sepBy1(',', $.selected_name), + ';' + ), + // }}} + // 13.1 Design units {{{ + design_unit: $ => prec.right(choice( + $.context_clause, + seq( + optional($.context_clause), + $._library_unit + ), + )), + + _library_unit: $ => choice( + $._primary_unit, + $._secondary_unit + ), + + _primary_unit: $ => prec('primary_unit',choice( + $.entity_declaration, + $.configuration_declaration, + $.package_declaration, + $.package_instantiation_declaration, + $.context_declaration, + $._PSL_Verification_Unit, + )), + + _secondary_unit: $ => prec('secondary_unit',choice( + $.architecture_body, + $.package_body + )), + // }}} + // 13.2 Design libraries {{{ + library_clause: $ => seq( + reservedWord('library'), + $.logical_name_list, + ';' + ), + + logical_name_list: $ => sepBy1(',', field('library',$._simple_name)), + // }}} + // 13.3 Context declarations {{{ + context_declaration: $ => seq( + reservedWord('context'), + field('name',$._identifier), + reservedWord('is'), + optional($.context_clause), + reservedWord('end'), + optional(reservedWord('context')), + optional($._end_simple_name), + ';' + ), + // }}} + // 13.4 Context clauses {{{ + context_clause: $ => prec.right(repeat1( + $._context_item + )), + + _context_item: $ => prec('context_item',choice( + $.library_clause, + $.use_clause, + $.context_reference + )), + + context_reference: $ => seq( + reservedWord('context'), + $.context_list, + ';' + ), + + context_list: $ => sepBy1(',', $.selected_name), + // }}} + // 15.3 Separators {{{ + // }}} + // 15.4 Identifiers {{{ + _identifier: $ => choice( + alias($.basic_identifier, $.identifier), + $.extended_identifier + ), + + basic_identifier: $ => token(seq( + /[a-zA-Z]/, + repeat(seq( + optional('_'), + /[a-zA-Z0-9]/ + )) + )), + + extended_identifier: $ => token(seq( + '\\', + /(\\\\|[^\r\n\\])*/, + '\\', + )), + // }}} + // 15.5 Abstract literals + _abstract_literal: $ => choice( + $.integer_decimal, + $.real_decimal, + $.based_integer, + $.based_real + ), + + // 15.5.2 Decimal literals + integer_decimal: $ => token(seq( + repeat1(/[0-9_]/), + optional(EXPONENT) + )), + + real_decimal: $ => token(seq( + repeat1(/[0-9_]/), + '.', + // not optional. + // optional used here to highlight incomplete code + optional(seq( + repeat1(/[0-9_]/), + optional(EXPONENT) + )) + )), + + // 15.5.3 Based literals + // TODO: Write another grammar to parse the values + based_integer: $ => token(seq( + repeat('0'), + choice(/[0-9]/,/1[0-6]/), + '#', + /[0-9a-fA-F_]*/, + '#', + optional(EXPONENT) + )), + + based_real: $ => token(seq( + repeat('0'), + choice(/[0-9]/,/1[0-6]/), + '#', + /[0-9a-fA-F_]*/, + '.', + /[0-9a-fA-F_]*/, + '#', + optional(EXPONENT) + )), + + // 15.6 Character literal + character_literal: $ => choice( + // Longest token has higher precedence + // the second alternative can't be matched. + // But, when the code is incomplete, tree-sitter will know + // there is only a "'" missing and will recovery from the + // error. + seq(/'.'/), + seq(/'[^;,)\r\n]/,"'"), // error recovery + ), + + // 15.7 String literal + string_literal: $ => choice( + // same trick used on character_literal + seq(/"(""|[^"\r\n])*"/), + seq(/"(""|[^";,)\r\n])*/,'"'), + ), + + // 15.8 Bit string literals + bit_string_literal: $ => choice( + seq(/[0-9]*[uUsS]?[bBoOxX]"[^" \r\n]*"/), + seq(/[0-9]*[uUsS]?[bBoOxX]"[^" ;,)\r\n]*/,'"'), + seq(/[0-9]*[dD]"[^" \r\n]*"/), + seq(/[0-9]*[dD]"[^" ;,)\r\n]*/,'"'), + ), + + // 15.9 Comments + comment: $ => token(choice( + prec(2,/--.*/), + prec(2,seq( // from tree-sitter-c + '/*', + /[^*]*\*+([^/*][^*]*\*+)*/, + '/' + )) + )), + + // 15.11 Tool directives + tool_directive: $ => token(/`.*/), + + // PSL + // PSL 5. Boolean layer {{{ + _PSL_Identifier: $ => alias( + $._identifier, + $.PSL_Identifier + ), + + _PSL_Any_Type: $ => prec(1,choice( + alias($._expr, $.PSL_Any_Type), + $.PSL_Expression, + $.PSL_Built_In_Function_Call, + $.PSL_Union_Expression, + )), + + _PSL_Boolean: $ => choice( + alias($._expr, $.PSL_Boolean), + $.PSL_Expression, + $.PSL_Built_In_Function_Call + ), + + PSL_Parenthesized_Boolean: $ => seq( + '(', + $._PSL_Boolean, + ')' + ), + + _PSL_Number: $ => choice( + alias($._expr, $.PSL_Number), + $.PSL_Built_In_Function_Call + ), + + _PSL_Value: $ => $._PSL_Any_Type, + + PSL_Expression: $ => prec.right('implication', seq( + $._PSL_Boolean, + field('operator', choice(...['->', '<->'].map(op => delimiter(op)))), + $._PSL_Boolean, + )), + // }}} + // PSL 5.2.3 Built-in functions {{{ + PSL_Built_In_Function_Call: $ => prec('psl_function_call', choice( + seq( + choice( + reservedWord('prev'), + reservedWord('next'), + reservedWord('stable'), + reservedWord('rose'), + reservedWord('fell'), + reservedWord('isunknown'), + reservedWord('countones'), + reservedWord('onehot'), + reservedWord('onehot0'), + reservedWord('nondet'), + reservedWord('nondet_vector'), + ), + '(', + sepBy1(',', choice($._PSL_Any_Type, $.PSL_Value_Set)), + ')', + ), + seq( + reservedWord('ended'), + '(', + $._PSL_Sequence, + ')', + ) + )), + // }}} + // PSL 5.3 Clock expressions {{{ + _PSL_Clock_Expression: $ => choice( + $._condition, + $.PSL_Built_In_Function_Call + ), + // }}} + // PSL 5.3 Union expressions {{{ + PSL_Union_Expression: $ => prec.left('union', seq( + $._PSL_Any_Type, + field('operator', reservedWord('union')), + $._PSL_Any_Type, + )), + // }}} + // PSL 5.4 Default clock declaration {{{ + _PSL_Declaration: $ => choice( + $.PSL_Property_Declaration, + $.PSL_Sequence_Declaration, + $.PSL_Clock_Declaration + ), + + PSL_Clock_Declaration: $ => seq( + reservedWord('default'), + reservedWord('clock'), + reservedWord('is'), + $._PSL_Clock_Expression, + ';' + ), + // }}} + // PSL 6.1.1 Sequential Extended Regular Expressions (SEREs) {{{ + _PSL_SERE: $ => choice( + $._PSL_Boolean, + $._PSL_Sequence, + $.PSL_Simple_SERE, + $._PSL_Compound_SERE, + ), + + PSL_Simple_SERE: $ => choice( + $._PSL_Fusion_SERE, + $._PSL_Concat_SERE, + ), + + _PSL_Fusion_SERE: $ => prec.right('sequence_fusion', seq( + $._PSL_SERE, + repeat1(prec.left(seq( + field('operator', delimiter(':')), + $._PSL_SERE, + ))), + )), + + _PSL_Concat_SERE: $ => prec.right('sequence_concatenation', seq( + $._PSL_SERE, + repeat1(prec.left(seq( + field('operator', delimiter(';')), + $._PSL_SERE, + ))), + )), + // }}} + // PSL 6.1.1.2 Compound SEREs {{{ + _PSL_Compound_SERE: $ => prec.dynamic(1, choice( + $.PSL_Repeated_SERE, + $.PSL_Braced_SERE, + $.PSL_Clocked_SERE, + alias( + choice( + $.PSL_Compound_SERE_And, + $.PSL_Compound_SERE_Within + ), + $.PSL_Compound_SERE, + ), + $.PSL_Parameterized_SERE, + )), + + PSL_Compound_SERE_Or: $ => prec.right('sequence_or', seq( + $._PSL_Compound_SERE, + repeat1(prec.left(seq( + field('operator', delimiter('|')), + $._PSL_Compound_SERE, + ))) + )), + + PSL_Compound_SERE_And: $ => prec.right('sequence_and', seq( + $._PSL_Compound_SERE, + repeat1(prec.left(seq( + field('operator', choice(...['&', '&&'].map(op => delimiter(op)))), + $._PSL_Compound_SERE, + ))) + )), + + PSL_Compound_SERE_Within: $ => prec.right('sequence_within', seq( + $._PSL_Compound_SERE, + repeat1(prec.left(seq( + field('operator', reservedWord('within')), + $._PSL_Compound_SERE, + ))) + )), + + PSL_Parameterized_SERE: $ => seq( + reservedWord('for'), + $.PSL_Parameters_Definition, + ':', + field('operator', choice(...['|', '&', '&&'].map(op => delimiter(op)))), + '{', + field('SERE', $._PSL_SERE), + '}' + ), + + PSL_Parameters_Definition: $ => sepBy1(',', $.PSL_Parameter_Specification), + + PSL_Parameter_Specification: $ => seq( + $._PSL_Identifier, + optional($.PSL_Index_Range), + reservedWord('in'), + $.PSL_Value_Set + ), + // }}} + // PSL 6.1.2 Sequences {{{ + _PSL_Sequence: $ => choice( + $._PSL_Sequence_Instance, + $.PSL_Repeated_SERE, + $.PSL_Braced_SERE, + $.PSL_Clocked_SERE + ), + + PSL_Repeated_SERE: $ => prec.left( + 'SERE_repetition', + seq( + optional(choice( + $._PSL_Boolean, + $._PSL_Sequence, + )), + $.PSL_Count, + ), + ), + + PSL_Count: $ => seq( + '[', + optional(field('operator', + choice(...['+', '*', '=', '->'].map(op => token.immediate(prec(3, (op))))) + )), + optional(choice( + $._PSL_Number, + $._PSL_Range + )), + ']', + ), + + _PSL_Range: $ => $._range, + + PSL_Braced_SERE: $ => prec.right(seq( + '{', + $._PSL_SERE, + '}' + )), + + PSL_Clocked_SERE: $ => prec.left( + 'clocked', + seq( + '{', + $._PSL_SERE, + '}', + '@', + $._PSL_Clock_Expression, + ) + ), + // }}} + // PSL 6.2 Properties {{{ + _PSL_Property: $ => choice( + $.PSL_Property_Replicator, + $._PSL_FL_Property, + $._PSL_Ambiguous_Instance, + ), + + _PSL_FL_Property: $ => choice( + $._PSL_Property_Instance, + $.PSL_Parenthesized_FL_Property, + $.PSL_Sequential_FL_Property, + $.PSL_Clocked_FL_Property, + $.PSL_Invariant_FL_Property, + $.PSL_Ocurrence_FL_Property, + $.PSL_Extended_Ocurrence_FL_Property, + $.PSL_Termination_FL_Property, + $.PSL_Bounding_FL_Property, + $.PSL_Suffix_Implication_FL_Property, + $.PSL_Parameterized_Property, + $.PSL_Implication_FL_Property, + $.PSL_Logical_FL_Property, + $.PSL_Factor_FL_Property, + $._PSL_Boolean + ), + + PSL_Parenthesized_FL_Property: $ => prec('parenthesized_property',seq( + '(', + $._PSL_FL_Property, + ')', + )), + + PSL_Sequential_FL_Property: $ => prec.left(-1, seq( + $._PSL_Sequence, + optional('!') + )), + + PSL_Clocked_FL_Property: $ => prec.right('clocked', seq( + $._PSL_FL_Property, + repeat1(prec.left('clocked',seq( + field('operator', delimiter('@')), + $._PSL_Clock_Expression + ))), + )), + + PSL_Invariant_FL_Property: $ => prec.right('invariant_property', seq( + field('operator', choice(...['always', 'never'].map(op => reservedWord(op)))), + $._PSL_FL_Property + )), + + PSL_Ocurrence_FL_Property: $ => prec.right('occurrence_property', seq( + field('operator', seq( + choice(...['next', 'eventually'].map(op => reservedWord(op))), + optional(token.immediate('!')) + )), + $._PSL_FL_Property + )), + + PSL_Implication_FL_Property: $ => prec.right('property_implication', seq( + $._PSL_FL_Property, + repeat1(prec.left('property_implication',seq( + field('operator', choice(...['->', '<->'].map(op => delimiter(op)))), + $._PSL_FL_Property, + ))), + )), + + PSL_Logical_FL_Property: $ => prec.right('logical_property', seq( + $._PSL_FL_Property, + repeat1(prec.left('logical_property',seq( + field('operator', choice(...['and', 'or'].map(op => reservedWord(op)))), + $._PSL_FL_Property, + ))), + )), + + PSL_Factor_FL_Property: $ => prec.left('property_factor', seq( + field('operator', reservedWord('not')), + $._PSL_FL_Property, + )), + + PSL_Extended_Ocurrence_FL_Property: $ => prec.right('occurrence_property', seq( + field('operator', seq( + choice(...[ + 'eventually', + 'next', + 'next_a', + 'next_e', + 'next_event', + 'next_event_a', + 'next_event_e' + ].map(op => reservedWord(op))), + optional(token.immediate('!')) + )), + $._PSL_Extended_Ocurrence_argument, + )), + + _PSL_Extended_Ocurrence_argument: $ => seq( + choice( + $._PSL_Extended_Ocurrence_FL_Property_Count_Specification, + $._PSL_Extended_Ocurrence_FL_Property_Until_Specification, + ), + '(', + field('Property', $._PSL_FL_Property), + ')', + ), + + _PSL_Extended_Ocurrence_FL_Property_Count_Specification: $ => seq( + $.PSL_Count, + ), + + _PSL_Extended_Ocurrence_FL_Property_Until_Specification: $ => prec('parenthesized_boolean',seq( + '(', + field('Boolean', $._PSL_Boolean), + ')', + optional($.PSL_Count), + )), + + PSL_Termination_FL_Property: $ => prec.left('termination_property', seq( + $._PSL_FL_Property, + field('operator', choice(...['async_abort', 'sync_abort', 'abort'].map(op => reservedWord(op)))), + $._PSL_Boolean + )), + + PSL_Bounding_FL_Property: $ => prec.right('bounding_property', seq( + $._PSL_FL_Property, + field('operator', seq( + choice(...['until', 'before'].map(op => reservedWord(op))), + optional(token.immediate('!')), + optional(token.immediate('_')) + )), + $._PSL_FL_Property, + )), + + PSL_Suffix_Implication_FL_Property: $ => choice( + prec.right(seq( + '{', + field('SERE', $._PSL_SERE), + '}', + '(', + field('Property', $._PSL_FL_Property), + ')', + )), + prec.right('sequence_implication', choice( + ...['|=>', '|->'].map(op => { + return seq( + field('Sequence', $._PSL_Sequence), + field('operator', delimiter(op)), + field('Property', $._PSL_FL_Property), + ) + }) + )), + ), + + PSL_Parameterized_Property: $ => seq( + reservedWord('for'), + $.PSL_Parameters_Definition, + ':', + field('operator', choice(...['and', 'or'].map(op => reservedWord(op)))), + '(', + $._PSL_FL_Property, + ')', + ), + // }}} + // PSL 6.2.3 Replicated properties {{{ + PSL_Property_Replicator: $ => seq( + reservedWord('forall'), + $.PSL_Parameter_Specification, + ':', + field('Property', + choice( + prec.dynamic(3,$._PSL_Property_Instance), + $._PSL_Property + ) + ) + ), + + PSL_Index_Range: $ => seq( + '(', + $._PSL_Range, + ')' + ), + + PSL_Value_Set: $ => choice( + $.boolean, + seq( + '{', + $._PSL_Value_Range, + repeat(seq( + ',', $._PSL_Value_Range + )), + '}', + ), + ), + + boolean: $ => reservedWord('boolean'), + + _PSL_Value_Range: $ => choice( + $._PSL_Value, + $._PSL_Range + ), + // }}} + // PSL 6.3 Property and sequence declarations {{{ + PSL_Property_Declaration: $ => seq( + reservedWord('property'), + $._PSL_Identifier, + optional(seq( + '(', + $.PSL_Formal_Parameter_List, + ')', + )), + reservedWord('is'), + choice( + prec.dynamic(3, $._PSL_Property_Instance), + $._PSL_Property, + ), + ';' + ), + + PSL_Sequence_Declaration: $ => seq( + reservedWord('sequence'), + $._PSL_Identifier, + optional(seq( + '(', + $.PSL_Formal_Parameter_List, + ')', + )), + reservedWord('is'), + $._PSL_Sequence, + ';' + ), + + PSL_Formal_Parameter_List: $ => sepBy1(';', $.PSL_Formal_Parameter), + + PSL_Formal_Parameter: $ => seq( + $._PSL_Parameter_Specification, + sepBy1(',', $._PSL_Identifier) + ), + + _PSL_Parameter_Specification: $ => choice( + $.PSL_Constant_Parameter_Specification, + $.PSL_Temporal_Parameter_Specification, + ), + + PSL_Constant_Parameter_Specification: $ => choice( + reservedWord('const'), + seq( + optional(reservedWord('const')), + choice( + $.PSL_HDL_Type, + $.PSL_Type_Class + ) + ), + ), + + PSL_Temporal_Parameter_Specification: $ => choice( + reservedWord('sequence'), + reservedWord('property'), + ), + + PSL_HDL_Type: $ => seq( + reservedWord('hdltype'), + $.subtype_indication + ), + + PSL_Type_Class: $ => choice( + reservedWord('boolean'), + reservedWord('bit'), + reservedWord('bit_vector'), + reservedWord('numeric'), + reservedWord('string'), + ), + // }}} + // PSL 6.3.3 Instantiation {{{ + PSL_Instance: $ => seq( + $._PSL_Identifier, + optional(seq( + '(', + $.PSL_Actual_Parameter_List, + ')' + )) + ), + + _PSL_Ambiguous_Instance: $ => prec.dynamic(-1,seq( + alias($.PSL_Instance, $.PSL_Ambiguous_Instance) + )), + + _PSL_Sequence_Instance: $ => prec.dynamic(-2, + alias($.PSL_Instance, $.PSL_Sequence_Instance) + ), + + _PSL_Property_Instance: $ => prec.dynamic(-2, + alias($.PSL_Instance, $.PSL_Property_Instance) + ), + + PSL_Actual_Parameter_List: $ => sepBy1(',', $.PSL_Actual_Parameter), + + PSL_Actual_Parameter: $ => choice( + $._PSL_Any_Type, + $._PSL_Sequence, + $._PSL_Property + ), + // }}} + // PSL 7.1 Verification directives {{{ + _PSL_Directive: $ => choice( + $.PSL_Assert_Directive, + $.PSL_Assume_Directive, + $.PSL_Assume_Guarantee_Directive, + $.PSL_Restrict_Directive, + $.PSL_Restrict_Guarantee_Directive, + $.PSL_Cover_Directive, + $.PSL_Fairness_Directive, + $.PSL_Strong_Fairness_Directive, + ), + + PSL_Assert_Directive: $ => prec('psl_assertion',seq( + optional($.label), + reservedWord('assert'), + $._PSL_Property, + optional($._report), + ';' + )), + + PSL_Assume_Directive: $ => seq( + optional($.label), + reservedWord('assume'), + $._PSL_Property, + ';' + ), + + PSL_Assume_Guarantee_Directive: $ => seq( + optional($.label), + reservedWord('assume_guarantee'), + $._PSL_Property, + optional($._report), + ';' + ), + + PSL_Restrict_Directive: $ => seq( + optional($.label), + reservedWord('restrict'), + $._PSL_Sequence, + ';' + ), + + PSL_Restrict_Guarantee_Directive: $ => seq( + optional($.label), + reservedWord('restrict_guarantee'), + $._PSL_Sequence, + optional($._report), + ';' + ), + + PSL_Cover_Directive: $ => seq( + optional($.label), + reservedWord('cover'), + $._PSL_Sequence, + optional($._report), + ';' + ), + + PSL_Fairness_Directive: $ => seq( + optional($.label), + reservedWord('fairness'), + $._PSL_Boolean, + ';' + ), + + PSL_Strong_Fairness_Directive: $ => seq( + optional($.label), + reservedWord('strong'), + reservedWord('fairness'), + $._PSL_Boolean, + ',', + $._PSL_Boolean, + ';' + ), + // }}} + // PSL 7.2 Verification units {{{ + _PSL_Verification_Unit: $ => choice( + $.PSL_VUnit, + $.PSL_VProp, + $.PSL_VMode + ), + + PSL_VUnit: $ => seq( + reservedWord('vunit'), + $._PSL_Identifier, + $.PSL_Verification_Unit_Body + ), + + PSL_VProp: $ => seq( + reservedWord('vprop'), + $._PSL_Identifier, + $.PSL_Verification_Unit_Body + ), + + PSL_VMode: $ => seq( + reservedWord('vmode'), + $._PSL_Identifier, + $.PSL_Verification_Unit_Body + ), + + PSL_Verification_Unit_Body: $ => seq( + optional(seq( + '(', + $.PSL_Hierarchical_HDL_Name, + ')' + )), + '{', + repeat($.PSL_Inherit_Spec), + repeat($._PSL_VUnit_Item), + '}' + ), + + PSL_Hierarchical_HDL_Name: $ => seq( + $._PSL_HDL_Module_NAME, + repeat(seq( + choice( + token.immediate('.'), + token.immediate('/'), + ), + field('instance', $._simple_name) + )) + ), + + _PSL_HDL_Module_NAME: $ => seq( + field('entity', $._simple_name), + optional(seq( + '(', + field('architecture', $._simple_name), + ')' + )) + ), + + PSL_Inherit_Spec: $ => seq( + reservedWord('inherit'), + sepBy1(',', $._name), + ';' + ), + + _PSL_VUnit_Item: $ => choice( + $._declaration, + $._concurrent_statement + ), + // }}} + + }, + supertype: $ => [ + $._primary_unit, + $._secondary_unit, + $._context_item, + $._sequential_statement, + $._concurrent_statement, + $._PSL_Verification_Unit, + $._PSL_Declaration, + $._PSL_Directive + ] + +}) + +// Helper functions {{{ +function binary_expression(prec, operands, optable) { + return choice(...optable.map(([operator, precedence, tokenize]) => { + return prec(precedence, seq( + field('left', operands), + field('operator', tokenize(operator)), + field('right', operands) + )) + })) +} + +function unary_expression(prec, operand, optable) { + return choice(...optable.map(([operator, precedence, tokenize]) => { + return prec(precedence, seq( + field('operator', tokenize(operator)), + field('argument', operand) + )) + })) +} + +function delimiter(delim, precedence = 2) { + return token(prec(precedence, delim)) +} + +function reservedWord(word) { + //return word + return alias(reserved(caseInsensitive(word)), word) +} + +function reserved(regex) { + return token(prec(2, new RegExp(regex))) +} + +function caseInsensitive(word) { + return word.split('') + .map(letter => `[${letter}${letter.toUpperCase()}]`) + .join('') +} + +function sepBy1(sep, rule) { + return seq(rule, repeat(seq(sep, rule))) +} + +function sepBy(sep, rule) { + return optional(sepBy1(sep, rule)) +} +// }}} diff --git a/vendored_parsers/tree-sitter-vhdl/package.json b/vendored_parsers/tree-sitter-vhdl/package.json new file mode 100644 index 000000000..e7314316f --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/package.json @@ -0,0 +1,42 @@ +{ + "name": "tree-sitter-vhdl", + "version": "0.1.1", + "description": "VHDL grammar for tree-sitter", + "main": "bindings/node", + "scripts": { + "test": "tree-sitter test" + }, + "repository": { + "type": "git", + "url": "git+https://github.com/alemuller/tree-sitter-vhdl.git" + }, + "keywords": [ + "parser", + "lexer", + "vhdl", + "vhdl-08", + "psl" + ], + "author": "Alexandre Muller", + "license": "MIT", + "bugs": { + "url": "https://github.com/alemuller/tree-sitter-vhdl/issues" + }, + "homepage": "https://github.com/alemuller/tree-sitter-vhdl#readme", + "dependencies": { + "nan": "^2.14.1" + }, + "devDependencies": { + "tree-sitter-cli": "^0.20.0" + }, + "tree-sitter": [ + { + "scope": "source.vhd", + "file-types": [ + "vhd", + "vhdl" + ], + "first-line-regex": "library *;" + } + ] +} diff --git a/vendored_parsers/tree-sitter-vhdl/queries/highlights.scm b/vendored_parsers/tree-sitter-vhdl/queries/highlights.scm new file mode 100644 index 000000000..cd7469a0f --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/queries/highlights.scm @@ -0,0 +1,606 @@ +; 3.2 Entity declaration {{{ +(entity_header [ + (generic_map_aspect) @error.illegal.map_aspect.generic + (port_map_aspect) @error.illegal.map_aspect.port + ]) + +(entity_header + (port_clause) + (generic_clause) @error.order.generic_after_port) + +(entity_header + (port_clause) + (port_clause) @error.repeated.clause.port) + +(entity_header + (generic_clause) + (generic_clause) @error.repeated.clause.generic) + +(entity_header [ + (generic_clause ")" @error.missing.semicolon.after_clause .) + (port_clause ")" @error.missing.semicolon.after_clause .) + ]) + +(entity_declaration + (declarative_part [ + (variable_declaration) + (component_declaration) + (configuration_specification) + ] @error.illegal.declaration)) + +(entity_declaration + (concurrent_statement_part [ + (block_statement) + (component_instantiation_statement) + (simple_concurrent_signal_assignment) + (conditional_concurrent_signal_assignment) + (selected_concurrent_signal_assignment) + (for_generate_statement) + (if_generate_statement) + (case_generate_statement) + (PSL_Property_Declaration) + (PSL_Sequence_Declaration) + (PSL_Clock_Declaration) + ] @error.illegal.statement)) + +;; tree-sitter-cli +;; NOTE: Only simple cases +(entity_declaration + (concurrent_statement_part + (process_statement + (sequence_of_statements [ + (simple_waveform_assignment) + (simple_force_assignment) + (simple_release_assignment) + ] @error.illegal.assignment.in_passive_process)) + )) + +;; nvim-tree-sitter +((simple_waveform_assignment) + (#has-ancestor? + @error.illegal.assignment.in_passive_process + entity_declaration)) + +;; nvim-tree-sitter +((simple_force_assignment) + (#has-ancestor? + @error.illegal.assignment.in_passive_process + entity_declaration)) + +;; nvim-tree-sitter +((simple_release_assignment) + (#has-ancestor? + @error.illegal.assignment.in_passive_process + entity_declaration)) + +((entity_declaration + name: (_) @_h + at_end: (_) @error.misspeling.name @_t) + (#not-eq? @_h @_t)) +; }}} +; 3.3 Architecture bodies {{{ +(architecture_body + (declarative_part + (variable_declaration) @error.illegal.declaration)) + +((architecture_body + name: (_) @_h + at_end: (_) @error.misspeling.name @_t) + (#not-eq? @_h @_t)) +; }}} +; 4.2 Subprogram declaration {{{ +(procedure_declaration + ["pure" "impure"] @error.unexpected.purity) + +(procedure_declaration + designator: (operator_symbol) @error.illegal.designator.operator_symbol) + +(procedure_declaration + (return) @error.unexpected.return) + +;; +(function_declaration + designator: (_) . (function_parameter_clause)? . ";" @error.missing.return) + +;; +(subprogram_header [ + (port_clause) @error.illegal.clause.port + (port_map_aspect) @error.illegal.map_aspect.port + ]) + +(subprogram_header + (generic_clause) + (generic_clause) @error.repeated.clause.generic) + +(subprogram_header + (generic_map_aspect) + (generic_map_aspect) @error.repeated.map_aspect.generic) + +; FIXME +; Negation rule not supported yet (tree-sitter version v0.19.4) +;(subprogram_header +; . !(generic_clause)* +; . (generic_map_aspect ["generic" "map"] @error.missing.clause.generic) +; . !(generic_clause)*) + +; WORKARROUND +; Only single common case +(subprogram_header +. (generic_map_aspect) @error.missing.clause.generic +. ) + +(subprogram_header + (generic_map_aspect) + (generic_clause) @error.order.clause_after_map_aspect) + +(subprogram_header [ + (generic_clause (semicolon) @error.unexpected.semicolon.after_clause .) + (generic_map_aspect (semicolon) @error.unexpected.semicolon.after_map_aspect .) + ]) +; }}} +; 4.2 Subprogram bodies {{{ +(procedure_body + ["pure" "impure"] @error.unexpected.purity) + +(procedure_body + designator: (operator_symbol) @error.illegal.designator.operator_symbol) + +(procedure_body + at_end: (operator_symbol) @error.illegal.designator.operator_symbol) + +(procedure_body + (return) @error.unexpected.return) + +(procedure_body + (declarative_part [ + (incomplete_type_declaration) + (signal_declaration) + (component_declaration) + (configuration_specification) + (disconnection_specification) + (PSL_Assert_Directive) + (PSL_Assume_Directive) + (PSL_Assume_Guarantee_Directive) + (PSL_Restrict_Directive) + (PSL_Restrict_Guarantee_Directive) + (PSL_Cover_Directive) + (PSL_Fairness_Directive) + (PSL_Strong_Fairness_Directive) + (PSL_Property_Declaration) + (PSL_Sequence_Declaration) + (PSL_Clock_Declaration) + ] @error.illegal.declaration)) + +(procedure_body + (declarative_part + (shared_variable_declaration "shared" @error.unexpected.shared))) + +(procedure_body + "procedure" + at_end: "function" @error.misspeling.subprogram_kind) + +((procedure_body + designator: (_) @_h + at_end: (_) @error.misspeling.designator @_t) + (#not-eq? @_h @_t)) +;; +(function_body + designator: (_) . (function_parameter_clause)? . "is" @error.missing.return) + +(function_body + at_end: ["pure" "impure"] @error.unexpected.purity.at_end) + +(function_body + (declarative_part [ + (signal_declaration) + (component_declaration) + (configuration_specification) + (disconnection_specification) + (PSL_Assert_Directive) + (PSL_Assume_Directive) + (PSL_Assume_Guarantee_Directive) + (PSL_Restrict_Directive) + (PSL_Restrict_Guarantee_Directive) + (PSL_Cover_Directive) + (PSL_Fairness_Directive) + (PSL_Strong_Fairness_Directive) + (PSL_Property_Declaration) + (PSL_Sequence_Declaration) + (PSL_Clock_Declaration) + ] @error.illegal.declaration)) + +(function_body + (declarative_part + (shared_variable_declaration "shared" @error.unexpected.shared))) + +(function_body + "function" + at_end: "procedure" @error.misspeling.subprogram_kind) + +((function_body + designator: (_) @_h + at_end: (_) @error.misspeling.designator @_t) + (#not-eq? @_h @_t)) +; }}} +; 4.3 Subprogram instantiation {{{ +(procedure_instantiation_declaration + ["pure" "impure"] @error.unexpected.purity) + +(procedure_instantiation_declaration + designator: (operator_symbol) @error.illegal.designator.operator_symbol) + +(procedure_instantiation_declaration + (signature (return) @error.unexpected.return)) + +;; +(function_instantiation_declaration + (signature (type_mark) ("," (type_mark))* . "]" @error.missing.return)) + +;; +(subprogram_map_aspect [ + (generic_clause) @error.illegal.clause.generic + (port_clause) @error.illegal.clause.port + (port_map_aspect) @error.illegal.map_aspect.port + ]) + +(subprogram_map_aspect + (generic_map_aspect) + (generic_map_aspect) @error.repeated.map_aspect.generic) + +(subprogram_map_aspect + (generic_map_aspect (semicolon) @error.unexpected.semicolon.after_map_aspect .)) +; }}} +; 4.2.2.1 Formal parameter list {{{ +(procedure_parameter_clause [ + (signal_interface_declaration (mode ["buffer" "linkage"]) @error.illegal.mode) + (variable_interface_declaration (mode ["buffer" "linkage"]) @error.illegal.mode) + (signal_interface_declaration (default_expression) @error.illegal.default_expression) + (type_interface_declaration) @error.illegal.interface.type + (procedure_interface_declaration) @error.illegal.interface.procedure + (function_interface_declaration) @error.illegal.interface.function + (package_interface_declaration) @error.illegal.interface.package + ]) + +(function_parameter_clause [ + (signal_interface_declaration (mode ["out" "inout" "buffer" "linkage"]) @error.illegal.mode) + (signal_interface_declaration (default_expression) @error.illegal.default_expression) + (variable_interface_declaration) @error.illegal.interface.variable + (file_interface_declaration) @error.illegal.interface.file + (type_interface_declaration) @error.illegal.interface.type + (procedure_interface_declaration) @error.illegal.interface.procedure + (function_interface_declaration) @error.illegal.interface.function + (package_interface_declaration) @error.illegal.interface.package + ]) +; }}} +; 4.5 Subprogram overloading {{{ +((operator_symbol) @error.illegal.operator_symbol + (#not-match? @error.illegal.operator_symbol "^\"(and|or|nand|nor|xnor|s[rl]l|s[rl]a|ro[rl]|mod|rem|abs|not|\\+|\\-|&|\\?\\?|\\??[<>/]?=|\\??[<>]|\\*\\??)\"$")) +; }}} +; 4.5.3 Signatures {{{ +(signature + "[" . "]" @error.missing.type_mark) + +(return + "," @error.unexpected.comma) +; }}} +; 4.7 Package declarations {{{ +(package_header [ + (port_clause) @error.illegal.clause.port + (port_map_aspect) @error.illegal.map_aspect.port + ]) + +(package_header + (generic_clause) + (generic_clause) @error.repeated.clause.generic) + +(package_header + (generic_map_aspect) + (generic_map_aspect) @error.repeated.map_aspect.generic) + +; FIXME +; Negation rule not supported yet (tree-sitter version v0.19.4) +;(package_header +; . !(generic_clause)* +; . (generic_map_aspect) @error.missing.clause.generic +; . !(generic_clause)*) + +; WORKARROUND +; Only common case +(package_header +. (generic_map_aspect) @error.missing.clause.generic +. ) + +(package_header + (generic_map_aspect) + (generic_clause) @error.order.clause_after_map_aspect) + +(package_header [ + (generic_clause ")" @error.missing.semicolon.after_clause .) + (generic_map_aspect ")" @error.missing.semicolon.after_map_aspect .) + ]) + +(package_declaration + (declarative_part [ + (procedure_body) + (function_body) + (configuration_specification) + ] @error.illegal.declaration)) + +(package_declaration + (declarative_part + (full_type_declaration + (protected_type_body) @error.illegal.declaration))) + +(procedure_body + (declarative_part + (package_declaration + (declarative_part [ + (signal_declaration) + (disconnection_specification) + (PSL_Property_Declaration) + (PSL_Sequence_Declaration) + (PSL_Clock_Declaration) + ] @error.illegal.declaration)))) + +(procedure_body + (declarative_part + (package_declaration + (declarative_part + (shared_variable_declaration "shared" @error.unexpected.shared))))) + +(function_body + (declarative_part + (package_declaration + (declarative_part [ + (signal_declaration) + (disconnection_specification) + (PSL_Property_Declaration) + (PSL_Sequence_Declaration) + (PSL_Clock_Declaration) + ] @error.illegal.declaration)))) + +(function_body + (declarative_part + (package_declaration + (declarative_part + (shared_variable_declaration "shared" @error.unexpected.shared))))) + +(process_statement + (declarative_part + (package_declaration + (declarative_part [ + (signal_declaration) + (disconnection_specification) + (PSL_Property_Declaration) + (PSL_Sequence_Declaration) + (PSL_Clock_Declaration) + ] @error.illegal.declaration)))) + +(process_statement + (declarative_part + (package_declaration + (declarative_part + (shared_variable_declaration "shared" @error.unexpected.shared))))) + +(full_type_declaration + (protected_type_body + (declarative_part + (package_declaration + (declarative_part [ + (signal_declaration) + (disconnection_specification) + (PSL_Property_Declaration) + (PSL_Sequence_Declaration) + (PSL_Clock_Declaration) + ] @error.illegal.declaration))))) + +(full_type_declaration + (protected_type_body + (declarative_part + (package_declaration + (declarative_part + (shared_variable_declaration "shared" @error.unexpected.shared)))))) + +((package_declaration + name: (_) @_h + at_end: (_) @error.misspeling.name @_t) + (#not-eq? @_h @_t)) +; }}} +; 4.8 Package bodies {{{ +(package_body + (declarative_part [ + (signal_declaration) + (component_declaration) + (configuration_specification) + (disconnection_specification) + (PSL_Assert_Directive) + (PSL_Assume_Directive) + (PSL_Assume_Guarantee_Directive) + (PSL_Restrict_Directive) + (PSL_Restrict_Guarantee_Directive) + (PSL_Cover_Directive) + (PSL_Fairness_Directive) + (PSL_Strong_Fairness_Directive) + (PSL_Property_Declaration) + (PSL_Sequence_Declaration) + (PSL_Clock_Declaration) + ] @error.illegal.declaration)) + +(procedure_body + (declarative_part + (package_body + (declarative_part + (shared_variable_declaration "shared" @error.unexpected.shared))))) + +(function_body + (declarative_part + (package_body + (declarative_part + (shared_variable_declaration "shared" @error.unexpected.shared))))) + +(process_statement + (declarative_part + (package_body + (declarative_part + (shared_variable_declaration "shared" @error.unexpected.shared))))) + +(full_type_declaration + (protected_type_body + (declarative_part + (package_body + (declarative_part + (shared_variable_declaration "shared" @error.unexpected.shared)))))) + +((package_body + package: (_) @_h + at_end: (_) @error.misspeling.name @_t) + (#not-eq? @_h @_t)) +; }}} +; 4.9 Package instantiation declarations {{{ +(package_map_aspect [ + (generic_clause) @error.illegal.clause.generic + (port_clause) @error.illegal.clause.port + (port_map_aspect) @error.illegal.map_aspect.port + ]) + +(package_map_aspect + (generic_map_aspect) + (generic_map_aspect) @error.repeated.map_aspect.generic) + +(package_map_aspect + (generic_map_aspect (semicolon) @error.unexpected.semicolon.after_map_aspect .)) +; }}} +; 5.2 Scalar types {{{ +(ascending_range + low: (simple_expression (integer_decimal)) + high: (simple_expression (real_decimal))) @error.illegal.range + +(ascending_range + low: (simple_expression (real_decimal)) + high: (simple_expression (integer_decimal))) @error.illegal.range + +(descending_range + high: (simple_expression (integer_decimal)) + low: (simple_expression (real_decimal))) @error.illegal.range + +(descending_range + high: (simple_expression (real_decimal)) + low: (simple_expression (integer_decimal))) @error.illegal.range +; }}} +; 5.2.2 Enumeration types {{{ +((enumeration_type_definition + literal: (_) @_a + literal: (_) @error.repeated.enumerator @_b) + (#eq? @_a @_b)) +; }}} +; 5.2.4 Physical types {{{ +((physical_type_definition + (primary_unit_declaration + name: (_) @_p) + (secondary_unit_declaration + name: (_) @error.repeated.unit @_s)) + (#eq? @_p @_s)) + +((physical_type_definition + (secondary_unit_declaration + name: (_) @_a) + (secondary_unit_declaration + name: (_) @error.repeated.unit @_b)) + (#eq? @_a @_b)) + +(secondary_unit_declaration + (physical_literal [ (real_decimal) (based_real) ] @error.illegal.floating_point)) + +((full_type_declaration + name: (_) @_h + (physical_type_definition + at_end: (_) @error.misspeling.name @_t)) + (#not-eq? @_h @_t)) +; }}} +; 5.3.2 Array types {{{ +(index_constraint + (subtype_indication + (resolution_function) @error.unexpected.resolution_function)) + +(parameter_specification + (subtype_indication + (resolution_function) @error.unexpected.resolution_function)) + +(full_type_declaration + name: (_) @_t + (constrained_array_definition + (subtype_indication + (type_mark (_) @error.repeated.type @_e))) + (#eq? @_t @_e)) + +(full_type_declaration + name: (_) @_t + (unbounded_array_definition + (subtype_indication + (type_mark (_) @error.repeated.type @_e))) + (#eq? @_t @_e)) +; }}} +; 5.3.2.3 Predefined array types {{{ +; Predefine array types shall be one dimensional +(subtype_indication + (type_mark + (simple_name) @_t + (#match? @_t "^(string|(boolean|bit|integer|real|time)_vector)$")) + (array_constraint + (index_constraint + (_) + (_) @error.illegal.discrete_range))) + +; String subtypes shall be indexed by positive numbers +(subtype_indication + (type_mark + (simple_name) @_t + (#eq? @_t "string")) + (array_constraint + (index_constraint + (_ + (simple_expression + (integer_decimal) @error.illegal.index.zero @_l + (#eq? @_l "0")))))) + +(subtype_indication + (type_mark + (simple_name) @_t + (#eq? @_t "string")) + (array_constraint + (index_constraint + (_ + (simple_expression + (sign) @error.illegal.index.negative))))) + +; Others predefined array types are indexed by natural numbers +(subtype_indication + (type_mark + (simple_name) @_t + (#match? @_t "^(boolean|bit|integer|real|time)_vector$")) + (array_constraint + (index_constraint + (_ + (simple_expression + (sign) @error.illegal.index.negative))))) +; }}} +; 5.3.3 Record types {{{ +((identifier_list + (_) @_a + (_) @error.repeated.identifier @_b) + (#eq? @_a @_b)) + +(record_type_definition + (element_declaration + (identifier_list (_) @_a)) + (element_declaration + (identifier_list (_) @error.repeated.identifier @_b)) + (#eq? @_a @_b)) + +((full_type_declaration + name: (_) @_h + (record_type_definition + at_end: (_) @error.misspeling.name @_t)) + (#not-eq? @_h @_t)) +; }}} + diff --git a/vendored_parsers/tree-sitter-vhdl/src/grammar.json b/vendored_parsers/tree-sitter-vhdl/src/grammar.json new file mode 100644 index 000000000..6f0e24306 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/src/grammar.json @@ -0,0 +1,19947 @@ +{ + "name": "vhdl", + "word": "basic_identifier", + "rules": { + "design_file": { + "type": "REPEAT", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_declaration" + }, + { + "type": "SYMBOL", + "name": "_sequential_statement" + }, + { + "type": "SYMBOL", + "name": "_concurrent_statement" + }, + { + "type": "SYMBOL", + "name": "design_unit" + } + ] + } + }, + "entity_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][tT][iI][tT][yY]" + } + } + }, + "named": false, + "value": "entity" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_header" + }, + "named": true, + "value": "entity_header" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][eE][gG][iI][nN]" + } + } + }, + "named": false, + "value": "begin" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "concurrent_statement_part" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][tT][iI][tT][yY]" + } + } + }, + "named": false, + "value": "entity" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_entity_name": { + "type": "FIELD", + "name": "entity", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + } + }, + "architecture_body": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][rR][cC][hH][iI][tT][eE][cC][tT][uU][rR][eE]" + } + } + }, + "named": false, + "value": "architecture" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][fF]" + } + } + }, + "named": false, + "value": "of" + }, + { + "type": "SYMBOL", + "name": "_entity_name" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][eE][gG][iI][nN]" + } + } + }, + "named": false, + "value": "begin" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "concurrent_statement_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][rR][cC][hH][iI][tT][eE][cC][tT][uU][rR][eE]" + } + } + }, + "named": false, + "value": "architecture" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "configuration_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][fF][iI][gG][uU][rR][aA][tT][iI][oO][nN]" + } + } + }, + "named": false, + "value": "configuration" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][fF]" + } + } + }, + "named": false, + "value": "of" + }, + { + "type": "SYMBOL", + "name": "_entity_name" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "verification_unit_binding_indication" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "block_configuration" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][fF][iI][gG][uU][rR][aA][tT][iI][oO][nN]" + } + } + }, + "named": false, + "value": "configuration" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "block_configuration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR]" + } + } + }, + "named": false, + "value": "for" + }, + { + "type": "SYMBOL", + "name": "_block_specification" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "use_clause" + } + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "_configuration_item" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR]" + } + } + }, + "named": false, + "value": "for" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_block_specification": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "pathname_element" + }, + "named": true, + "value": "block_specification" + }, + "generate_statement_element": { + "type": "PREC", + "value": "generate_statement_element", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "label", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_generate_specification" + }, + { + "type": "STRING", + "value": ")" + } + ] + } + }, + "_generate_specification": { + "type": "FIELD", + "name": "specification", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "SYMBOL", + "name": "_range" + }, + { + "type": "SYMBOL", + "name": "_name_or_label" + } + ] + } + }, + "_configuration_item": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "block_configuration" + }, + { + "type": "SYMBOL", + "name": "component_configuration" + } + ] + }, + "component_configuration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR]" + } + } + }, + "named": false, + "value": "for" + }, + { + "type": "SYMBOL", + "name": "_component_specification" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "binding_indication" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "verification_unit_binding_indication" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "block_configuration" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR]" + } + } + }, + "named": false, + "value": "for" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_subprogram_declaration": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "procedure_declaration" + }, + { + "type": "SYMBOL", + "name": "function_declaration" + } + ] + }, + "procedure_declaration": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_procedure_specification" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "function_declaration": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_function_specification" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_procedure_specification": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][uU][rR][eE]" + } + } + }, + "named": false, + "value": "pure" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][mM][pP][uU][rR][eE]" + } + } + }, + "named": false, + "value": "impure" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][cC][eE][dD][uU][rR][eE]" + } + } + }, + "named": false, + "value": "procedure" + }, + { + "type": "SYMBOL", + "name": "_designator" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_header" + }, + "named": true, + "value": "subprogram_header" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "procedure_parameter_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "return" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_function_specification": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][uU][rR][eE]" + } + } + }, + "named": false, + "value": "pure" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][mM][pP][uU][rR][eE]" + } + } + }, + "named": false, + "value": "impure" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][uU][nN][cC][tT][iI][oO][nN]" + } + } + }, + "named": false, + "value": "function" + }, + { + "type": "SYMBOL", + "name": "_designator" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_header" + }, + "named": true, + "value": "subprogram_header" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "function_parameter_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "return" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "return": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][tT][uU][rR][nN]" + } + } + }, + "named": false, + "value": "return" + }, + { + "type": "SYMBOL", + "name": "type_mark" + } + ] + }, + "_end_designator": { + "type": "FIELD", + "name": "at_end", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "SYMBOL", + "name": "_operator_symbol" + } + ] + } + }, + "_designator": { + "type": "FIELD", + "name": "designator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_identifier" + }, + { + "type": "SYMBOL", + "name": "_operator_symbol" + } + ] + } + }, + "procedure_parameter_clause": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][aA][rR][aA][mM][eE][tT][eE][rR]" + } + } + }, + "named": false, + "value": "parameter" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_procedure_parameter_list" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "function_parameter_clause": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][aA][rR][aA][mM][eE][tT][eE][rR]" + } + } + }, + "named": false, + "value": "parameter" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_function_parameter_list" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "_subprogram_body": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "procedure_body" + }, + { + "type": "SYMBOL", + "name": "function_body" + } + ] + }, + "procedure_body": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_procedure_specification" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][eE][gG][iI][nN]" + } + } + }, + "named": false, + "value": "begin" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "sequence_of_statements" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_subprogram_kind" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_designator" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "function_body": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_function_specification" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][eE][gG][iI][nN]" + } + } + }, + "named": false, + "value": "begin" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "sequence_of_statements" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_subprogram_kind" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_designator" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_subprogram_kind": { + "type": "FIELD", + "name": "at_end", + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][uU][rR][eE]" + } + } + }, + "named": false, + "value": "pure" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][mM][pP][uU][rR][eE]" + } + } + }, + "named": false, + "value": "impure" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][cC][eE][dD][uU][rR][eE]" + } + } + }, + "named": false, + "value": "procedure" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][uU][nN][cC][tT][iI][oO][nN]" + } + } + }, + "named": false, + "value": "function" + } + ] + } + ] + } + }, + "_subprogram_instantiation_declaration": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "procedure_instantiation_declaration" + }, + { + "type": "SYMBOL", + "name": "function_instantiation_declaration" + } + ] + }, + "procedure_instantiation_declaration": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][uU][rR][eE]" + } + } + }, + "named": false, + "value": "pure" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][mM][pP][uU][rR][eE]" + } + } + }, + "named": false, + "value": "impure" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][cC][eE][dD][uU][rR][eE]" + } + } + }, + "named": false, + "value": "procedure" + }, + { + "type": "SYMBOL", + "name": "_designator" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][wW]" + } + } + }, + "named": false, + "value": "new" + }, + { + "type": "SYMBOL", + "name": "_uninstantiated_name" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "signature" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_header" + }, + "named": true, + "value": "subprogram_map_aspect" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "function_instantiation_declaration": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][uU][rR][eE]" + } + } + }, + "named": false, + "value": "pure" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][mM][pP][uU][rR][eE]" + } + } + }, + "named": false, + "value": "impure" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][uU][nN][cC][tT][iI][oO][nN]" + } + } + }, + "named": false, + "value": "function" + }, + { + "type": "SYMBOL", + "name": "_designator" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][wW]" + } + } + }, + "named": false, + "value": "new" + }, + { + "type": "SYMBOL", + "name": "_uninstantiated_name" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "signature" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_header" + }, + "named": true, + "value": "subprogram_map_aspect" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_uninstantiated_name": { + "type": "FIELD", + "name": "uninstantiated", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "_simple_name" + } + ] + } + }, + "signature": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "[" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "type_mark" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "type_mark" + } + ] + } + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "return" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": "]" + } + ] + }, + "package_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][aA][cC][kK][aA][gG][eE]" + } + } + }, + "named": false, + "value": "package" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_header" + }, + "named": true, + "value": "package_header" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][aA][cC][kK][aA][gG][eE]" + } + } + }, + "named": false, + "value": "package" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "package_body": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][aA][cC][kK][aA][gG][eE]" + } + } + }, + "named": false, + "value": "package" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][oO][dD][yY]" + } + } + }, + "named": false, + "value": "body" + }, + { + "type": "SYMBOL", + "name": "_package_name" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][aA][cC][kK][aA][gG][eE]" + } + } + }, + "named": false, + "value": "package" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][oO][dD][yY]" + } + } + }, + "named": false, + "value": "body" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_package_name": { + "type": "FIELD", + "name": "package", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + "package_instantiation_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][aA][cC][kK][aA][gG][eE]" + } + } + }, + "named": false, + "value": "package" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][wW]" + } + } + }, + "named": false, + "value": "new" + }, + { + "type": "SYMBOL", + "name": "_uninstantiated_name" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_header" + }, + "named": true, + "value": "package_map_aspect" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_scalar_type_definition": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "enumeration_type_definition" + }, + { + "type": "SYMBOL", + "name": "_numeric_type_definition" + }, + { + "type": "SYMBOL", + "name": "physical_type_definition" + } + ] + }, + "_numeric_type_definition": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "range_constraint" + }, + "named": true, + "value": "numeric_type_definition" + }, + "range_constraint": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][aA][nN][gG][eE]" + } + } + }, + "named": false, + "value": "range" + }, + { + "type": "SYMBOL", + "name": "_range" + } + ] + }, + "_range": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "ascending_range" + }, + { + "type": "SYMBOL", + "name": "descending_range" + }, + { + "type": "SYMBOL", + "name": "_range_attribute_name" + } + ] + }, + "_range_attribute_name": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "range_attribute_name" + }, + "named": true, + "value": "attribute_name" + }, + "range_attribute_name": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "prefix", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "ambiguous_name" + }, + { + "type": "SYMBOL", + "name": "attribute_name" + }, + { + "type": "SYMBOL", + "name": "_external_object_name" + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_range_attribute_designator" + } + ] + }, + "ascending_range": { + "type": "PREC", + "value": "range", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "low", + "content": { + "type": "SYMBOL", + "name": "_simple_expression" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[tT][oO]" + } + } + }, + "named": false, + "value": "to" + }, + { + "type": "FIELD", + "name": "high", + "content": { + "type": "SYMBOL", + "name": "_simple_expression" + } + } + ] + } + }, + "descending_range": { + "type": "PREC", + "value": "range", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "high", + "content": { + "type": "SYMBOL", + "name": "_simple_expression" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[dD][oO][wW][nN][tT][oO]" + } + } + }, + "named": false, + "value": "downto" + }, + { + "type": "FIELD", + "name": "low", + "content": { + "type": "SYMBOL", + "name": "_simple_expression" + } + } + ] + } + }, + "enumeration_type_definition": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_enumeration_literal" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_enumeration_literal" + } + ] + } + } + ] + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "_enumeration_literal": { + "type": "FIELD", + "name": "literal", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "character_literal" + }, + { + "type": "SYMBOL", + "name": "_identifier" + } + ] + } + }, + "physical_type_definition": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "range_constraint" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[uU][nN][iI][tT][sS]" + } + } + }, + "named": false, + "value": "units" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "primary_unit_declaration" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "secondary_unit_declaration" + } + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[uU][nN][iI][tT][sS]" + } + } + }, + "named": false, + "value": "units" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "primary_unit_declaration": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "secondary_unit_declaration": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "STRING", + "value": "=" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "physical_literal" + }, + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_physical_literal" + }, + "named": true, + "value": "physical_literal" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_physical_literal": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_unit" + } + ] + }, + "physical_literal": { + "type": "PREC", + "value": "physical_literal", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_abstract_literal" + }, + { + "type": "SYMBOL", + "name": "_unit" + } + ] + } + }, + "_unit": { + "type": "FIELD", + "name": "unit", + "content": { + "type": "PREC", + "value": "physical_literal", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + } + } + }, + "_composite_type_definition": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_array_type_definition" + }, + { + "type": "SYMBOL", + "name": "record_type_definition" + } + ] + }, + "_array_type_definition": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "unbounded_array_definition" + }, + { + "type": "SYMBOL", + "name": "constrained_array_definition" + } + ] + }, + "unbounded_array_definition": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][rR][rR][aA][yY]" + } + } + }, + "named": false, + "value": "array" + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "index_subtype_definition" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "index_subtype_definition" + } + ] + } + } + ] + }, + { + "type": "STRING", + "value": ")" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][fF]" + } + } + }, + "named": false, + "value": "of" + }, + { + "type": "FIELD", + "name": "element", + "content": { + "type": "SYMBOL", + "name": "subtype_indication" + } + } + ] + }, + "constrained_array_definition": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][rR][rR][aA][yY]" + } + } + }, + "named": false, + "value": "array" + }, + { + "type": "SYMBOL", + "name": "index_constraint" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][fF]" + } + } + }, + "named": false, + "value": "of" + }, + { + "type": "FIELD", + "name": "element", + "content": { + "type": "SYMBOL", + "name": "subtype_indication" + } + } + ] + }, + "index_subtype_definition": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "type_mark" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][aA][nN][gG][eE]" + } + } + }, + "named": false, + "value": "range" + }, + { + "type": "SYMBOL", + "name": "_any" + } + ] + }, + "array_constraint": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "index_constraint" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_array_element_constraint" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_array_element_constraint": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_element_constraint" + }, + "named": true, + "value": "array_element_constraint" + }, + "index_constraint": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_discrete_range" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_discrete_range" + } + ] + } + } + ] + }, + { + "type": "PREC_DYNAMIC", + "value": 99, + "content": { + "type": "SYMBOL", + "name": "open" + } + } + ] + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "_discrete_range": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "SYMBOL", + "name": "_range" + } + ] + }, + "open": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][pP][eE][nN]" + } + } + }, + "named": false, + "value": "open" + }, + "record_type_definition": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][cC][oO][rR][dD]" + } + } + }, + "named": false, + "value": "record" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "element_declaration" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][cC][oO][rR][dD]" + } + } + }, + "named": false, + "value": "record" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "element_declaration": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "identifier_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "record_constraint": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "record_element_constraint" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "record_element_constraint" + } + ] + } + } + ] + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "record_element_constraint": { + "type": "PREC", + "value": "record_element_constraint", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "element", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + { + "type": "SYMBOL", + "name": "_element_constraint" + } + ] + } + }, + "identifier_list": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_identifier" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_identifier" + } + ] + } + } + ] + }, + "access_type_definition": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][cC][cC][eE][sS][sS]" + } + } + }, + "named": false, + "value": "access" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + } + ] + }, + "incomplete_type_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[tT][yY][pP][eE]" + } + } + }, + "named": false, + "value": "type" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "file_type_definition": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][iI][lL][eE]" + } + } + }, + "named": false, + "value": "file" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][fF]" + } + } + }, + "named": false, + "value": "of" + }, + { + "type": "SYMBOL", + "name": "type_mark" + } + ] + }, + "protected_type_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][tT][eE][cC][tT][eE][dD]" + } + } + }, + "named": false, + "value": "protected" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][tT][eE][cC][tT][eE][dD]" + } + } + }, + "named": false, + "value": "protected" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "protected_type_body": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][tT][eE][cC][tT][eE][dD]" + } + } + }, + "named": false, + "value": "protected" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][oO][dD][yY]" + } + } + }, + "named": false, + "value": "body" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][tT][eE][cC][tT][eE][dD]" + } + } + }, + "named": false, + "value": "protected" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][oO][dD][yY]" + } + } + }, + "named": false, + "value": "body" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "declarative_part": { + "type": "PREC_LEFT", + "value": 0, + "content": { + "type": "REPEAT1", + "content": { + "type": "SYMBOL", + "name": "_declaration" + } + } + }, + "_declaration": { + "type": "PREC", + "value": "declaration", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_subprogram_declaration" + }, + { + "type": "SYMBOL", + "name": "_subprogram_body" + }, + { + "type": "SYMBOL", + "name": "_subprogram_instantiation_declaration" + }, + { + "type": "SYMBOL", + "name": "package_declaration" + }, + { + "type": "SYMBOL", + "name": "package_body" + }, + { + "type": "SYMBOL", + "name": "package_instantiation_declaration" + }, + { + "type": "SYMBOL", + "name": "_type_declaration" + }, + { + "type": "SYMBOL", + "name": "subtype_declaration" + }, + { + "type": "SYMBOL", + "name": "_object_declaration" + }, + { + "type": "SYMBOL", + "name": "alias_declaration" + }, + { + "type": "SYMBOL", + "name": "component_declaration" + }, + { + "type": "SYMBOL", + "name": "attribute_declaration" + }, + { + "type": "SYMBOL", + "name": "attribute_specification" + }, + { + "type": "SYMBOL", + "name": "configuration_specification" + }, + { + "type": "SYMBOL", + "name": "disconnection_specification" + }, + { + "type": "SYMBOL", + "name": "use_clause" + }, + { + "type": "SYMBOL", + "name": "group_template_declaration" + }, + { + "type": "SYMBOL", + "name": "group_declaration" + }, + { + "type": "SYMBOL", + "name": "_PSL_Directive" + }, + { + "type": "SYMBOL", + "name": "_PSL_Declaration" + } + ] + } + }, + "_type_declaration": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "full_type_declaration" + }, + { + "type": "SYMBOL", + "name": "incomplete_type_declaration" + } + ] + }, + "full_type_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[tT][yY][pP][eE]" + } + } + }, + "named": false, + "value": "type" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "SYMBOL", + "name": "_type_definition" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_type_definition": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_scalar_type_definition" + }, + { + "type": "SYMBOL", + "name": "_composite_type_definition" + }, + { + "type": "SYMBOL", + "name": "access_type_definition" + }, + { + "type": "SYMBOL", + "name": "file_type_definition" + }, + { + "type": "SYMBOL", + "name": "protected_type_declaration" + }, + { + "type": "SYMBOL", + "name": "protected_type_body" + } + ] + }, + "subtype_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][uU][bB][tT][yY][pP][eE]" + } + } + }, + "named": false, + "value": "subtype" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "subtype_indication": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_resolution_indication" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "type_mark" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_constraint" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_resolution_indication": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "resolution_function" + }, + { + "type": "SYMBOL", + "name": "record_resolution" + }, + { + "type": "SYMBOL", + "name": "parenthesized_resolution" + } + ] + }, + "resolution_function": { + "type": "PREC", + "value": "resolution_function", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + } + }, + "parenthesized_resolution": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_resolution_indication" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "record_resolution": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "record_element_resolution" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "record_element_resolution" + } + ] + } + } + ] + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "record_element_resolution": { + "type": "PREC", + "value": "record_element_resolution", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "element", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + { + "type": "SYMBOL", + "name": "_resolution_indication" + } + ] + } + }, + "type_mark": { + "type": "PREC", + "value": "type_mark", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "attribute_name" + } + ] + } + }, + "_constraint": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "range_constraint" + }, + { + "type": "SYMBOL", + "name": "array_constraint" + }, + { + "type": "SYMBOL", + "name": "record_constraint" + } + ] + }, + "_element_constraint": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "array_constraint" + }, + { + "type": "SYMBOL", + "name": "record_constraint" + } + ] + }, + "_object_declaration": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "constant_declaration" + }, + { + "type": "SYMBOL", + "name": "signal_declaration" + }, + { + "type": "SYMBOL", + "name": "variable_declaration" + }, + { + "type": "SYMBOL", + "name": "shared_variable_declaration" + }, + { + "type": "SYMBOL", + "name": "file_declaration" + } + ] + }, + "constant_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][sS][tT][aA][nN][tT]" + } + } + }, + "named": false, + "value": "constant" + }, + { + "type": "SYMBOL", + "name": "identifier_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "default_expression" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "signal_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][iI][gG][nN][aA][lL]" + } + } + }, + "named": false, + "value": "signal" + }, + { + "type": "SYMBOL", + "name": "identifier_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "signal_kind" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "default_expression" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "signal_kind": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][gG][iI][sS][tT][eE][rR]" + } + } + }, + "named": false, + "value": "register" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][uU][sS]" + } + } + }, + "named": false, + "value": "bus" + } + ] + }, + "variable_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][aA][rR][iI][aA][bB][lL][eE]" + } + } + }, + "named": false, + "value": "variable" + }, + { + "type": "SYMBOL", + "name": "identifier_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "default_expression" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "shared_variable_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][hH][aA][rR][eE][dD]" + } + } + }, + "named": false, + "value": "shared" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][aA][rR][iI][aA][bB][lL][eE]" + } + } + }, + "named": false, + "value": "variable" + }, + { + "type": "SYMBOL", + "name": "identifier_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "default_expression" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "file_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][iI][lL][eE]" + } + } + }, + "named": false, + "value": "file" + }, + { + "type": "SYMBOL", + "name": "identifier_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "file_open_information" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "file_open_information": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][pP][eE][nN]" + } + } + }, + "named": false, + "value": "open" + }, + { + "type": "SYMBOL", + "name": "_file_open_kind" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "SYMBOL", + "name": "_file_logical_name" + } + ] + }, + "_file_logical_name": { + "type": "SYMBOL", + "name": "_string_expression" + }, + "_generic_interface_declaration": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "constant_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "type_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "_subprogram_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "package_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "_illegal_interface_declaration" + } + ] + }, + "_port_interface_declaration": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "signal_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "_illegal_interface_declaration" + } + ] + }, + "_procedure_interface_declaration": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "constant_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "signal_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "variable_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "file_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "_illegal_interface_declaration" + } + ] + }, + "_function_interface_declaration": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "constant_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "signal_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "file_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "_illegal_interface_declaration" + } + ] + }, + "constant_interface_declaration": { + "type": "PREC_DYNAMIC", + "value": 3, + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][sS][tT][aA][nN][tT]" + } + } + }, + "named": false, + "value": "constant" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "identifier_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_constant_mode" + }, + "named": true, + "value": "mode" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "default_expression" + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + "signal_interface_declaration": { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][iI][gG][nN][aA][lL]" + } + } + }, + "named": false, + "value": "signal" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "identifier_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_signal_mode" + }, + "named": true, + "value": "mode" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "signal_kind" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "default_expression" + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + "variable_interface_declaration": { + "type": "PREC_DYNAMIC", + "value": 2, + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][aA][rR][iI][aA][bB][lL][eE]" + } + } + }, + "named": false, + "value": "variable" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "identifier_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_variable_mode" + }, + "named": true, + "value": "mode" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "default_expression" + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + "file_interface_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][iI][lL][eE]" + } + } + }, + "named": false, + "value": "file" + }, + { + "type": "SYMBOL", + "name": "identifier_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_signal_mode" + }, + "named": true, + "value": "mode" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "default_expression" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_in": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][nN]" + } + } + }, + "named": false, + "value": "in" + }, + "_out": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][uU][tT]" + } + } + }, + "named": false, + "value": "out" + }, + "_inout": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][nN][oO][uU][tT]" + } + } + }, + "named": false, + "value": "inout" + }, + "_buffer": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][uU][fF][fF][eE][rR]" + } + } + }, + "named": false, + "value": "buffer" + }, + "_linkage": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][iI][nN][kK][aA][gG][eE]" + } + } + }, + "named": false, + "value": "linkage" + }, + "_constant_mode": { + "type": "CHOICE", + "members": [ + { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "SYMBOL", + "name": "_in" + } + }, + { + "type": "PREC_DYNAMIC", + "value": -3, + "content": { + "type": "SYMBOL", + "name": "_out" + } + }, + { + "type": "PREC_DYNAMIC", + "value": -3, + "content": { + "type": "SYMBOL", + "name": "_inout" + } + }, + { + "type": "PREC_DYNAMIC", + "value": -3, + "content": { + "type": "SYMBOL", + "name": "_buffer" + } + }, + { + "type": "PREC_DYNAMIC", + "value": -3, + "content": { + "type": "SYMBOL", + "name": "_linkage" + } + } + ] + }, + "_variable_mode": { + "type": "CHOICE", + "members": [ + { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "SYMBOL", + "name": "_in" + } + }, + { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "SYMBOL", + "name": "_out" + } + }, + { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "SYMBOL", + "name": "_inout" + } + }, + { + "type": "PREC_DYNAMIC", + "value": -2, + "content": { + "type": "SYMBOL", + "name": "_buffer" + } + }, + { + "type": "PREC_DYNAMIC", + "value": -2, + "content": { + "type": "SYMBOL", + "name": "_linkage" + } + } + ] + }, + "_signal_mode": { + "type": "CHOICE", + "members": [ + { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "SYMBOL", + "name": "_in" + } + }, + { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "SYMBOL", + "name": "_out" + } + }, + { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "SYMBOL", + "name": "_inout" + } + }, + { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "SYMBOL", + "name": "_buffer" + } + }, + { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "SYMBOL", + "name": "_linkage" + } + } + ] + }, + "_illegal_interface_declaration": { + "type": "PREC_DYNAMIC", + "value": -3, + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "constant_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "signal_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "variable_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "file_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "type_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "_subprogram_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "package_interface_declaration" + } + ] + } + }, + "type_interface_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[tT][yY][pP][eE]" + } + } + }, + "named": false, + "value": "type" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + } + ] + }, + "_subprogram_interface_declaration": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "procedure_interface_declaration" + }, + { + "type": "SYMBOL", + "name": "function_interface_declaration" + } + ] + }, + "procedure_interface_declaration": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_procedure_specification" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "SYMBOL", + "name": "interface_subprogram_default" + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "function_interface_declaration": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_function_specification" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "SYMBOL", + "name": "interface_subprogram_default" + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "interface_subprogram_default": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "_operator_symbol" + }, + { + "type": "ALIAS", + "content": { + "type": "STRING", + "value": "<>" + }, + "named": true, + "value": "same" + } + ] + }, + "package_interface_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][aA][cC][kK][aA][gG][eE]" + } + } + }, + "named": false, + "value": "package" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][wW]" + } + } + }, + "named": false, + "value": "new" + }, + { + "type": "SYMBOL", + "name": "_uninstantiated_name" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_header" + }, + "named": true, + "value": "package_map_aspect" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_generic_interface_list": { + "type": "SEQ", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_generic_interface_declaration" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": ";" + }, + { + "type": "SYMBOL", + "name": "_generic_interface_declaration" + } + ] + } + } + ] + } + ] + }, + "_port_interface_list": { + "type": "SEQ", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_port_interface_declaration" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": ";" + }, + { + "type": "SYMBOL", + "name": "_port_interface_declaration" + } + ] + } + } + ] + } + ] + }, + "_procedure_parameter_list": { + "type": "SEQ", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_procedure_interface_declaration" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": ";" + }, + { + "type": "SYMBOL", + "name": "_procedure_interface_declaration" + } + ] + } + } + ] + } + ] + }, + "_function_parameter_list": { + "type": "SEQ", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_function_interface_declaration" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": ";" + }, + { + "type": "SYMBOL", + "name": "_function_interface_declaration" + } + ] + } + } + ] + } + ] + }, + "generic_clause": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][eE][nN][eE][rR][iI][cC]" + } + } + }, + "named": false, + "value": "generic" + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_generic_interface_list" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ")" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_semicolon" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_semicolon": { + "type": "ALIAS", + "content": { + "type": "STRING", + "value": ";" + }, + "named": true, + "value": "semicolon" + }, + "port_clause": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][oO][rR][tT]" + } + } + }, + "named": false, + "value": "port" + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_port_interface_list" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ")" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_semicolon" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "association_list": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_association_element" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_association_element" + } + ] + } + } + ] + }, + "_association_element": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "positional_association_element" + }, + { + "type": "SYMBOL", + "name": "named_association_element" + } + ] + }, + "positional_association_element": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_actual_part" + } + ] + }, + "named_association_element": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_formal_part" + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "=>" + } + } + }, + { + "type": "SYMBOL", + "name": "_actual_part" + } + ] + }, + "_formal_part": { + "type": "FIELD", + "name": "formal_part", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_name" + }, + { + "type": "SYMBOL", + "name": "others" + } + ] + } + }, + "_actual_part": { + "type": "FIELD", + "name": "actual_part", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "SYMBOL", + "name": "inertial_expression" + }, + { + "type": "PREC_DYNAMIC", + "value": -1, + "content": { + "type": "SYMBOL", + "name": "subtype_indication" + } + }, + { + "type": "PREC_DYNAMIC", + "value": 99, + "content": { + "type": "SYMBOL", + "name": "open" + } + }, + { + "type": "PREC_DYNAMIC", + "value": 2, + "content": { + "type": "SYMBOL", + "name": "character_literal" + } + }, + { + "type": "PREC_DYNAMIC", + "value": 2, + "content": { + "type": "SYMBOL", + "name": "string_literal" + } + } + ] + } + }, + "generic_map_aspect": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][eE][nN][eE][rR][iI][cC]" + } + } + }, + "named": false, + "value": "generic" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[mM][aA][pP]" + } + } + }, + "named": false, + "value": "map" + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "association_list" + }, + { + "type": "SYMBOL", + "name": "default" + }, + { + "type": "SYMBOL", + "name": "_any" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ")" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_semicolon" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "default": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[dD][eE][fF][aA][uU][lL][tT]" + } + } + }, + "named": false, + "value": "default" + }, + "_any": { + "type": "ALIAS", + "content": { + "type": "STRING", + "value": "<>" + }, + "named": true, + "value": "any" + }, + "port_map_aspect": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][oO][rR][tT]" + } + } + }, + "named": false, + "value": "port" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[mM][aA][pP]" + } + } + }, + "named": false, + "value": "map" + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "association_list" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ")" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_semicolon" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_header": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_clause" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_clause" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_clause": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "generic_clause" + }, + { + "type": "SYMBOL", + "name": "generic_map_aspect" + }, + { + "type": "SYMBOL", + "name": "port_clause" + }, + { + "type": "SYMBOL", + "name": "port_map_aspect" + } + ] + }, + "alias_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][lL][iI][aA][sS]" + } + } + }, + "named": false, + "value": "alias" + }, + { + "type": "SYMBOL", + "name": "_alias_designator" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "SYMBOL", + "name": "_alias_denotator" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "signature" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_alias_designator": { + "type": "FIELD", + "name": "designator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_identifier" + }, + { + "type": "SYMBOL", + "name": "character_literal" + }, + { + "type": "SYMBOL", + "name": "_operator_symbol" + } + ] + } + }, + "_alias_denotator": { + "type": "FIELD", + "name": "denotator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "character_literal" + }, + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "ambiguous_name" + }, + { + "type": "SYMBOL", + "name": "slice_name" + }, + { + "type": "SYMBOL", + "name": "attribute_name" + }, + { + "type": "SYMBOL", + "name": "_external_object_name" + } + ] + } + }, + "_operator_symbol": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "string_literal" + }, + "named": true, + "value": "operator_symbol" + }, + "attribute_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][tT][tT][rR][iI][bB][uU][tT][eE]" + } + } + }, + "named": false, + "value": "attribute" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "type_mark" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "component_declaration": { + "type": "PREC", + "value": "component_declaration", + "content": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][mM][pP][oO][nN][eE][nN][tT]" + } + } + }, + "named": false, + "value": "component" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_header" + }, + "named": true, + "value": "component_header" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][mM][pP][oO][nN][eE][nN][tT]" + } + } + }, + "named": false, + "value": "component" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + } + }, + "group_template_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][rR][oO][uU][pP]" + } + } + }, + "named": false, + "value": "group" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "entity_class_entry_list" + }, + { + "type": "STRING", + "value": ")" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "entity_class_entry_list": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "entity_class_entry" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "entity_class_entry" + } + ] + } + } + ] + }, + "entity_class_entry": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "entity_class" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_any" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "group_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][rR][oO][uU][pP]" + } + } + }, + "named": false, + "value": "group" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "_group_template" + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "group_constituent_list" + }, + { + "type": "STRING", + "value": ")" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "group_constituent_list": { + "type": "PREC", + "value": "group_constituent_list", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_group_constituent" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_group_constituent" + } + ] + } + } + ] + } + }, + "_group_constituent": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "character_literal" + } + ] + }, + "_group_template": { + "type": "FIELD", + "name": "template", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + } + }, + "attribute_specification": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][tT][tT][rR][iI][bB][uU][tT][eE]" + } + } + }, + "named": false, + "value": "attribute" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][fF]" + } + } + }, + "named": false, + "value": "of" + }, + { + "type": "SYMBOL", + "name": "entity_specification" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "entity_name_list": { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "entity_designator" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "entity_designator" + } + ] + } + } + ] + }, + { + "type": "SYMBOL", + "name": "others" + }, + { + "type": "SYMBOL", + "name": "all" + } + ] + }, + "entity_specification": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "entity_name_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "entity_class" + } + ] + }, + "entity_class": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][tT][iI][tT][yY]" + } + } + }, + "named": false, + "value": "entity" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][rR][cC][hH][iI][tT][eE][cC][tT][uU][rR][eE]" + } + } + }, + "named": false, + "value": "architecture" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][fF][iI][gG][uU][rR][aA][tT][iI][oO][nN]" + } + } + }, + "named": false, + "value": "configuration" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][cC][eE][dD][uU][rR][eE]" + } + } + }, + "named": false, + "value": "procedure" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][uU][nN][cC][tT][iI][oO][nN]" + } + } + }, + "named": false, + "value": "function" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][aA][cC][kK][aA][gG][eE]" + } + } + }, + "named": false, + "value": "package" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[tT][yY][pP][eE]" + } + } + }, + "named": false, + "value": "type" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][uU][bB][tT][yY][pP][eE]" + } + } + }, + "named": false, + "value": "subtype" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][sS][tT][aA][nN][tT]" + } + } + }, + "named": false, + "value": "constant" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][iI][gG][nN][aA][lL]" + } + } + }, + "named": false, + "value": "signal" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][aA][rR][iI][aA][bB][lL][eE]" + } + } + }, + "named": false, + "value": "variable" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][mM][pP][oO][nN][eE][nN][tT]" + } + } + }, + "named": false, + "value": "component" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][aA][bB][eE][lL]" + } + } + }, + "named": false, + "value": "label" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][iI][tT][eE][rR][aA][lL]" + } + } + }, + "named": false, + "value": "literal" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[uU][nN][iI][tT][sS]" + } + } + }, + "named": false, + "value": "units" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][rR][oO][uU][pP]" + } + } + }, + "named": false, + "value": "group" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][iI][lL][eE]" + } + } + }, + "named": false, + "value": "file" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][pP][eE][rR][tT][yY]" + } + } + }, + "named": false, + "value": "property" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][eE][qQ][uU][eE][nN][cC][eE]" + } + } + }, + "named": false, + "value": "sequence" + } + ] + }, + "entity_designator": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_entity_tag" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "signature" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_entity_tag": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "character_literal" + }, + { + "type": "SYMBOL", + "name": "_operator_symbol" + } + ] + }, + "configuration_specification": { + "type": "PREC_RIGHT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR]" + } + } + }, + "named": false, + "value": "for" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_component_specification" + }, + { + "type": "SYMBOL", + "name": "binding_indication" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "verification_unit_binding_indication" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR]" + } + } + }, + "named": false, + "value": "for" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + "_component_specification": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "instantiation_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "_component_name" + } + ] + }, + "instantiation_list": { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_simple_name" + } + ] + } + } + ] + }, + { + "type": "SYMBOL", + "name": "others" + }, + { + "type": "SYMBOL", + "name": "all" + } + ] + }, + "all": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][lL][lL]" + } + } + }, + "named": false, + "value": "all" + }, + "_component_name": { + "type": "FIELD", + "name": "component", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + "binding_indication": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[uU][sS][eE]" + } + } + }, + "named": false, + "value": "use" + }, + { + "type": "SYMBOL", + "name": "_entity_aspect" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_header" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_entity_aspect": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "entity_instantiation" + }, + { + "type": "SYMBOL", + "name": "configuration_instantiation" + }, + { + "type": "SYMBOL", + "name": "component_instantiation" + }, + { + "type": "SYMBOL", + "name": "open" + } + ] + }, + "verification_unit_binding_indication": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[uU][sS][eE]" + } + } + }, + "named": false, + "value": "use" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][uU][nN][iI][tT]" + } + } + }, + "named": false, + "value": "vunit" + }, + { + "type": "SYMBOL", + "name": "verification_unit_list" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "verification_unit_list": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + } + ] + } + } + ] + }, + "disconnection_specification": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[dD][iI][sS][cC][oO][nN][nN][eE][cC][tT]" + } + } + }, + "named": false, + "value": "disconnect" + }, + { + "type": "SYMBOL", + "name": "guarded_signal_specification" + }, + { + "type": "SYMBOL", + "name": "_after" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "guarded_signal_specification": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "signal_list" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "type_mark" + } + ] + }, + "signal_list": { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_signal_name" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_signal_name" + } + ] + } + } + ] + }, + { + "type": "SYMBOL", + "name": "others" + }, + { + "type": "SYMBOL", + "name": "all" + } + ] + }, + "_name": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "character_literal" + }, + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "ambiguous_name" + }, + { + "type": "SYMBOL", + "name": "slice_name" + }, + { + "type": "SYMBOL", + "name": "attribute_name" + }, + { + "type": "SYMBOL", + "name": "_external_object_name" + } + ] + }, + "_simple_name": { + "type": "PREC", + "value": "simple_name", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "basic_identifier" + }, + "named": true, + "value": "simple_name" + }, + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "extended_identifier" + }, + "named": true, + "value": "extended_simple_name" + } + ] + } + }, + "_end_simple_name": { + "type": "FIELD", + "name": "at_end", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + "selected_name": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "prefix", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "ambiguous_name" + }, + { + "type": "SYMBOL", + "name": "slice_name" + }, + { + "type": "SYMBOL", + "name": "_external_object_name" + } + ] + } + }, + { + "type": "IMMEDIATE_TOKEN", + "content": { + "type": "STRING", + "value": "." + } + }, + { + "type": "FIELD", + "name": "suffix", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "character_literal" + }, + { + "type": "SYMBOL", + "name": "_operator_symbol" + }, + { + "type": "SYMBOL", + "name": "all" + } + ] + } + } + ] + }, + "ambiguous_name": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "prefix", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "ambiguous_name" + }, + { + "type": "SYMBOL", + "name": "function_call" + } + ] + } + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "expression_list" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "expression_list": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_expression" + } + ] + } + } + ] + }, + "slice_name": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "prefix", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "ambiguous_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "slice_name" + }, + { + "type": "SYMBOL", + "name": "function_call" + } + ] + } + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_range" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "attribute_name": { + "type": "PREC", + "value": "attribute_name", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "prefix", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "ambiguous_name" + }, + { + "type": "SYMBOL", + "name": "attribute_name" + }, + { + "type": "SYMBOL", + "name": "function_call" + }, + { + "type": "SYMBOL", + "name": "_external_object_name" + } + ] + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "signature" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_attribute_designator" + }, + { + "type": "SYMBOL", + "name": "_predefined_attribute_designator" + }, + { + "type": "SYMBOL", + "name": "_predefined_attribute_designator_with_expression" + } + ] + } + ] + } + }, + "_attribute_designator": { + "type": "SEQ", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "STRING", + "value": "'" + } + }, + { + "type": "FIELD", + "name": "designator", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + } + ] + }, + "_predefined_attribute_designator": { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "SEQ", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "STRING", + "value": "'" + } + }, + { + "type": "FIELD", + "name": "designator", + "content": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_predefined_designator" + }, + "named": true, + "value": "predefined_designator" + } + } + ] + } + }, + "_predefined_attribute_designator_with_expression": { + "type": "PREC_DYNAMIC", + "value": 2, + "content": { + "type": "PREC_RIGHT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "STRING", + "value": "'" + } + }, + { + "type": "FIELD", + "name": "designator", + "content": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_predefined_designator_with_expression" + }, + "named": true, + "value": "predefined_designator" + } + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "STRING", + "value": ")" + } + ] + } + } + }, + "_range_attribute_designator": { + "type": "SEQ", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "STRING", + "value": "'" + } + }, + { + "type": "FIELD", + "name": "designator", + "content": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_range_designator" + }, + "named": true, + "value": "predefined_designator" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_predefined_designator": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][aA][sS][eE]" + } + } + }, + "named": false, + "value": "base" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][eE][fF][tT]" + } + } + }, + "named": false, + "value": "left" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][iI][gG][hH][tT]" + } + } + }, + "named": false, + "value": "right" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[hH][iI][gG][hH]" + } + } + }, + "named": false, + "value": "high" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][oO][wW]" + } + } + }, + "named": false, + "value": "low" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][mM][aA][gG][eE]" + } + } + }, + "named": false, + "value": "image" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][oO][sS]" + } + } + }, + "named": false, + "value": "pos" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][aA][lL]" + } + } + }, + "named": false, + "value": "val" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][uU][cC][cC]" + } + } + }, + "named": false, + "value": "succ" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][eE][dD]" + } + } + }, + "named": false, + "value": "pred" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][eE][fF][tT][oO][fF]" + } + } + }, + "named": false, + "value": "leftof" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][iI][gG][hH][tT][oO][fF]" + } + } + }, + "named": false, + "value": "rightof" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][uU][bB][tT][yY][pP][eE]" + } + } + }, + "named": false, + "value": "subtype" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][eE][nN][gG][tT][hH]" + } + } + }, + "named": false, + "value": "length" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][sS][cC][eE][nN][dD][iI][nN][gG]" + } + } + }, + "named": false, + "value": "ascending" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[dD][eE][sS][cC][eE][nN][dD][iI][nN][gG]" + } + } + }, + "named": false, + "value": "descending" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][lL][eE][mM][eE][nN][tT]" + } + } + }, + "named": false, + "value": "element" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[dD][eE][lL][aA][yY][eE][dD]" + } + } + }, + "named": false, + "value": "delayed" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][tT][aA][bB][lL][eE]" + } + } + }, + "named": false, + "value": "stable" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[qQ][uU][iI][eE][tT]" + } + } + }, + "named": false, + "value": "quiet" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[tT][rR][aA][nN][sS][aA][cC][tT][iI][oO][nN]" + } + } + }, + "named": false, + "value": "transaction" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][vV][eE][nN][tT]" + } + } + }, + "named": false, + "value": "event" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][cC][tT][iI][vV][eE]" + } + } + }, + "named": false, + "value": "active" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][aA][sS][tT][__][eE][vV][eE][nN][tT]" + } + } + }, + "named": false, + "value": "last_event" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][aA][sS][tT][__][aA][cC][tT][iI][vV][eE]" + } + } + }, + "named": false, + "value": "last_active" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][aA][sS][tT][__][vV][aA][lL][uU][eE]" + } + } + }, + "named": false, + "value": "last_value" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[dD][rR][iI][vV][iI][nN][gG]" + } + } + }, + "named": false, + "value": "driving" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[dD][rR][iI][vV][iI][nN][gG][__][vV][aA][lL][uU][eE]" + } + } + }, + "named": false, + "value": "driving_value" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][iI][mM][pP][lL][eE][__][nN][aA][mM][eE]" + } + } + }, + "named": false, + "value": "simple_name" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][nN][sS][tT][aA][nN][cC][eE][__][nN][aA][mM][eE]" + } + } + }, + "named": false, + "value": "instance_name" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][aA][tT][hH][__][nN][aA][mM][eE]" + } + } + }, + "named": false, + "value": "path_name" + } + ] + }, + "_predefined_designator_with_expression": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][mM][aA][gG][eE]" + } + } + }, + "named": false, + "value": "image" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][aA][lL][uU][eE]" + } + } + }, + "named": false, + "value": "value" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][oO][sS]" + } + } + }, + "named": false, + "value": "pos" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][aA][lL]" + } + } + }, + "named": false, + "value": "val" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][uU][cC][cC]" + } + } + }, + "named": false, + "value": "succ" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][eE][dD]" + } + } + }, + "named": false, + "value": "pred" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][eE][fF][tT][oO][fF]" + } + } + }, + "named": false, + "value": "leftof" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][iI][gG][hH][tT][oO][fF]" + } + } + }, + "named": false, + "value": "rightof" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][eE][fF][tT]" + } + } + }, + "named": false, + "value": "left" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][iI][gG][hH][tT]" + } + } + }, + "named": false, + "value": "right" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[hH][iI][gG][hH]" + } + } + }, + "named": false, + "value": "high" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][oO][wW]" + } + } + }, + "named": false, + "value": "low" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][eE][nN][gG][tT][hH]" + } + } + }, + "named": false, + "value": "length" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][sS][cC][eE][nN][dD][iI][nN][gG]" + } + } + }, + "named": false, + "value": "ascending" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[dD][eE][lL][aA][yY][eE][dD]" + } + } + }, + "named": false, + "value": "delayed" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][tT][aA][bB][lL][eE]" + } + } + }, + "named": false, + "value": "stable" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[qQ][uU][iI][eE][tT]" + } + } + }, + "named": false, + "value": "quiet" + } + ] + }, + "_range_designator": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][aA][nN][gG][eE]" + } + } + }, + "named": false, + "value": "range" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][vV][eE][rR][sS][eE][__][rR][aA][nN][gG][eE]" + } + } + }, + "named": false, + "value": "reverse_range" + } + ] + }, + "_external_object_name": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "external_constant_name" + }, + { + "type": "SYMBOL", + "name": "external_signal_name" + }, + { + "type": "SYMBOL", + "name": "external_variable_name" + } + ] + }, + "external_constant_name": { + "type": "SEQ", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "<<" + } + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][sS][tT][aA][nN][tT]" + } + } + }, + "named": false, + "value": "constant" + }, + { + "type": "SYMBOL", + "name": "_external_pathname" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": ">>" + } + } + } + ] + }, + "external_signal_name": { + "type": "SEQ", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "<<" + } + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][iI][gG][nN][aA][lL]" + } + } + }, + "named": false, + "value": "signal" + }, + { + "type": "SYMBOL", + "name": "_external_pathname" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": ">>" + } + } + } + ] + }, + "external_variable_name": { + "type": "SEQ", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "<<" + } + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][aA][rR][iI][aA][bB][lL][eE]" + } + } + }, + "named": false, + "value": "variable" + }, + { + "type": "SYMBOL", + "name": "_external_pathname" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": ">>" + } + } + } + ] + }, + "_external_pathname": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "package_pathname" + }, + { + "type": "SYMBOL", + "name": "absolute_pathname" + }, + { + "type": "SYMBOL", + "name": "relative_pathname" + } + ] + }, + "package_pathname": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "@" + }, + { + "type": "FIELD", + "name": "library", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + { + "type": "STRING", + "value": "." + }, + { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "package", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "." + }, + { + "type": "FIELD", + "name": "package", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + } + ] + } + } + ] + }, + { + "type": "STRING", + "value": "." + }, + { + "type": "FIELD", + "name": "object", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + } + ] + }, + "absolute_pathname": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "." + }, + { + "type": "SYMBOL", + "name": "_partial_pathname" + } + ] + }, + "relative_pathname": { + "type": "SEQ", + "members": [ + { + "type": "REPEAT", + "content": { + "type": "STRING", + "value": "^." + } + }, + { + "type": "SYMBOL", + "name": "_partial_pathname" + } + ] + }, + "_partial_pathname": { + "type": "SEQ", + "members": [ + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "pathname_element" + }, + { + "type": "STRING", + "value": "." + } + ] + } + }, + { + "type": "FIELD", + "name": "object", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + } + ] + }, + "pathname_element": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_name_or_label" + }, + { + "type": "SYMBOL", + "name": "generate_statement_element" + } + ] + }, + "_name_or_label": { + "type": "FIELD", + "name": "name_or_label", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + "_expr": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_unary_expression" + }, + { + "type": "SYMBOL", + "name": "_binary_expression" + }, + { + "type": "SYMBOL", + "name": "_primary" + } + ] + }, + "_unary_expression": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "condition" + }, + { + "type": "SYMBOL", + "name": "reduction" + }, + { + "type": "SYMBOL", + "name": "sign" + }, + { + "type": "SYMBOL", + "name": "factor" + } + ] + }, + "_binary_expression": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "logical_expression" + }, + { + "type": "SYMBOL", + "name": "relation" + }, + { + "type": "SYMBOL", + "name": "shift_expression" + }, + { + "type": "SYMBOL", + "name": "simple_expression" + }, + { + "type": "SYMBOL", + "name": "concatenation" + }, + { + "type": "SYMBOL", + "name": "term" + }, + { + "type": "SYMBOL", + "name": "exponentiation" + } + ] + }, + "_primary": { + "type": "PREC", + "value": "primary", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_name" + }, + { + "type": "SYMBOL", + "name": "_literal" + }, + { + "type": "SYMBOL", + "name": "aggregate" + }, + { + "type": "SYMBOL", + "name": "qualified_expression" + }, + { + "type": "SYMBOL", + "name": "allocator" + }, + { + "type": "SYMBOL", + "name": "parenthesized_expression" + }, + { + "type": "SYMBOL", + "name": "function_call" + } + ] + } + }, + "_expression": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_expr" + }, + "named": true, + "value": "expression" + }, + "_condition": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_expr" + }, + "named": true, + "value": "conditional_expression" + }, + "_simple_expression": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_expr" + }, + "named": true, + "value": "simple_expression" + }, + "_time_expression": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_expr" + }, + "named": true, + "value": "time_expression" + }, + "_string_expression": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_expr" + }, + "named": true, + "value": "string_expression" + }, + "_severity_expression": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_primary" + }, + "named": true, + "value": "severity_expression" + }, + "_file_open_kind": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_primary" + }, + "named": true, + "value": "file_open_kind" + }, + "default_expression": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": ":=" + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + }, + "inertial_expression": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][nN][eE][rR][tT][iI][aA][lL]" + } + } + }, + "named": false, + "value": "inertial" + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + }, + "parenthesized_expression": { + "type": "PREC", + "value": "parenthesized_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_expr" + }, + { + "type": "STRING", + "value": ")" + } + ] + } + }, + "condition": { + "type": "PREC", + "value": "condition", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "??" + } + } + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + }, + "reduction": { + "type": "PREC", + "value": "reduction", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][nN][dD]" + } + } + }, + "named": false, + "value": "and" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][rR]" + } + } + }, + "named": false, + "value": "or" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[xX][oO][rR]" + } + } + }, + "named": false, + "value": "xor" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][aA][nN][dD]" + } + } + }, + "named": false, + "value": "nand" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][oO][rR]" + } + } + }, + "named": false, + "value": "nor" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[xX][nN][oO][rR]" + } + } + }, + "named": false, + "value": "xnor" + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + }, + "factor": { + "type": "PREC_RIGHT", + "value": "factor", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][oO][tT]" + } + } + }, + "named": false, + "value": "not" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][bB][sS]" + } + } + }, + "named": false, + "value": "abs" + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + }, + "sign": { + "type": "PREC", + "value": "sign", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "+" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "-" + } + } + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + }, + "logical_expression": { + "type": "PREC_RIGHT", + "value": "logical_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_expr" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": "logical_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][nN][dD]" + } + } + }, + "named": false, + "value": "and" + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + } + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": "logical_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][rR]" + } + } + }, + "named": false, + "value": "or" + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + } + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": "logical_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[xX][oO][rR]" + } + } + }, + "named": false, + "value": "xor" + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + } + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": "logical_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[xX][nN][oO][rR]" + } + } + }, + "named": false, + "value": "xnor" + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + } + }, + { + "type": "SEQ", + "members": [ + { + "type": "PREC_LEFT", + "value": "logical_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][aA][nN][dD]" + } + } + }, + "named": false, + "value": "nand" + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + } + ] + }, + { + "type": "SEQ", + "members": [ + { + "type": "PREC_LEFT", + "value": "logical_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][oO][rR]" + } + } + }, + "named": false, + "value": "nor" + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + } + ] + } + ] + } + ] + } + }, + "relation": { + "type": "PREC_RIGHT", + "value": "relation", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_expr" + }, + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "<" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": ">" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "=" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "<=" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": ">=" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "/=" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "?<" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "?>" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "?=" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "?<=" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "?>=" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "?/=" + } + } + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + }, + "shift_expression": { + "type": "PREC_RIGHT", + "value": "shift_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_expr" + }, + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][lL][lL]" + } + } + }, + "named": false, + "value": "sll" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][rR][lL]" + } + } + }, + "named": false, + "value": "srl" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][lL][aA]" + } + } + }, + "named": false, + "value": "sla" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][rR][aA]" + } + } + }, + "named": false, + "value": "sra" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][oO][lL]" + } + } + }, + "named": false, + "value": "rol" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][oO][rR]" + } + } + }, + "named": false, + "value": "ror" + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + }, + "simple_expression": { + "type": "PREC_RIGHT", + "value": "simple_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_expr" + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": "simple_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "+" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "-" + } + } + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + } + } + ] + } + }, + "concatenation": { + "type": "PREC_RIGHT", + "value": "simple_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_expr" + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": "simple_expression", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "&" + } + } + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + } + } + ] + } + }, + "term": { + "type": "PREC_RIGHT", + "value": "term", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_expr" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": "term", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "*" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "/" + } + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][mM]" + } + } + }, + "named": false, + "value": "rem" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[mM][oO][dD]" + } + } + }, + "named": false, + "value": "mod" + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + } + } + ] + } + ] + } + }, + "exponentiation": { + "type": "PREC_LEFT", + "value": "exponentiation", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_expr" + }, + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "**" + } + } + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_expr" + } + ] + } + }, + "_literal": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_numeric_literal" + }, + { + "type": "SYMBOL", + "name": "string_literal" + }, + { + "type": "SYMBOL", + "name": "bit_string_literal" + }, + { + "type": "SYMBOL", + "name": "null" + }, + { + "type": "SYMBOL", + "name": "character_literal" + } + ] + }, + "_numeric_literal": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_abstract_literal" + }, + { + "type": "SYMBOL", + "name": "physical_literal" + } + ] + }, + "null": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][uU][lL][lL]" + } + } + }, + "named": false, + "value": "null" + }, + "aggregate": { + "type": "PREC", + "value": -1, + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_element_association_list" + }, + { + "type": "STRING", + "value": ")" + } + ] + } + }, + "_element_association_list": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "named_element_association" + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_element_association" + }, + { + "type": "STRING", + "value": "," + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_element_association" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_element_association" + } + ] + } + } + ] + } + ] + } + ] + }, + "_element_association": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "positional_element_association" + }, + { + "type": "SYMBOL", + "name": "named_element_association" + } + ] + }, + "positional_element_association": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_value" + } + ] + }, + "named_element_association": { + "type": "PREC", + "value": -1, + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "choices" + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "=>" + } + } + }, + { + "type": "SYMBOL", + "name": "_value" + } + ] + } + }, + "_value": { + "type": "SYMBOL", + "name": "_expression" + }, + "choices": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_choice" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "|" + }, + { + "type": "SYMBOL", + "name": "_choice" + } + ] + } + } + ] + }, + "_choice": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_expression" + }, + { + "type": "SYMBOL", + "name": "_range" + }, + { + "type": "SYMBOL", + "name": "others" + } + ] + }, + "others": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][tT][hH][eE][rR][sS]" + } + } + }, + "named": false, + "value": "others" + }, + "function_call": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_function_name" + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "association_list" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "_function_name": { + "type": "FIELD", + "name": "function", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "_operator_symbol" + }, + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "SYMBOL", + "name": "attribute_name" + } + ] + } + }, + "qualified_expression": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "type_mark" + }, + { + "type": "TOKEN", + "content": { + "type": "STRING", + "value": "'" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "aggregate" + }, + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "parenthesized_expression" + }, + "named": true, + "value": "expression" + } + ] + } + ] + }, + "allocator": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][wW]" + } + } + }, + "named": false, + "value": "new" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "subtype_indication" + }, + { + "type": "SYMBOL", + "name": "qualified_expression" + } + ] + } + ] + }, + "sequence_of_statements": { + "type": "REPEAT1", + "content": { + "type": "SYMBOL", + "name": "_sequential_statement" + } + }, + "_sequential_statement": { + "type": "PREC", + "value": "sequential_statement", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "process_statement" + }, + { + "type": "SYMBOL", + "name": "wait_statement" + }, + { + "type": "SYMBOL", + "name": "assertion_statement" + }, + { + "type": "SYMBOL", + "name": "report_statement" + }, + { + "type": "SYMBOL", + "name": "_signal_assignment_statement" + }, + { + "type": "SYMBOL", + "name": "_variable_assignment_statement" + }, + { + "type": "SYMBOL", + "name": "procedure_call_statement" + }, + { + "type": "SYMBOL", + "name": "if_statement" + }, + { + "type": "SYMBOL", + "name": "case_statement" + }, + { + "type": "SYMBOL", + "name": "loop_statement" + }, + { + "type": "SYMBOL", + "name": "next_statement" + }, + { + "type": "SYMBOL", + "name": "exit_statement" + }, + { + "type": "SYMBOL", + "name": "return_statement" + }, + { + "type": "SYMBOL", + "name": "null_statement" + }, + { + "type": "SYMBOL", + "name": "_PSL_Directive" + }, + { + "type": "SYMBOL", + "name": "_PSL_Declaration" + } + ] + } + }, + "wait_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][aA][iI][tT]" + } + } + }, + "named": false, + "value": "wait" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_sensitivity_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_condition_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_timeout_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_sensitivity_clause": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][nN]" + } + } + }, + "named": false, + "value": "on" + }, + { + "type": "SYMBOL", + "name": "sensitivity_list" + } + ] + }, + "_condition_clause": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[uU][nN][tT][iI][lL]" + } + } + }, + "named": false, + "value": "until" + }, + { + "type": "SYMBOL", + "name": "_condition" + } + ] + }, + "_timeout_clause": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR]" + } + } + }, + "named": false, + "value": "for" + }, + { + "type": "SYMBOL", + "name": "_time_expression" + } + ] + }, + "sensitivity_list": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "all" + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_signal_name" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_signal_name" + } + ] + } + } + ] + } + ] + }, + "_signal_name": { + "type": "SYMBOL", + "name": "_name" + }, + "assertion_statement": { + "type": "PREC", + "value": "vhdl_assertion", + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][oO][sS][tT][pP][oO][nN][eE][dD]" + } + } + }, + "named": false, + "value": "postponed" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][sS][sS][eE][rR][tT]" + } + } + }, + "named": false, + "value": "assert" + }, + { + "type": "SYMBOL", + "name": "_condition" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_report" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_severity" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + } + }, + "report_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_report" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_severity" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_report": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][pP][oO][rR][tT]" + } + } + }, + "named": false, + "value": "report" + }, + { + "type": "SYMBOL", + "name": "_string_expression" + } + ] + }, + "_severity": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][eE][vV][eE][rR][iI][tT][yY]" + } + } + }, + "named": false, + "value": "severity" + }, + { + "type": "SYMBOL", + "name": "_severity_expression" + } + ] + }, + "_signal_assignment_statement": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_signal_assignment" + }, + { + "type": "SYMBOL", + "name": "_conditional_signal_assignment" + }, + { + "type": "SYMBOL", + "name": "_selected_signal_assignment" + } + ] + }, + "_simple_signal_assignment": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "simple_waveform_assignment" + }, + { + "type": "SYMBOL", + "name": "simple_force_assignment" + }, + { + "type": "SYMBOL", + "name": "simple_release_assignment" + } + ] + }, + "simple_waveform_assignment": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_target" + }, + { + "type": "STRING", + "value": "<=" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][uU][aA][rR][dD][eE][dD]" + } + } + }, + "named": false, + "value": "guarded" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "delay_mechanism" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "waveforms" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "simple_force_assignment": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_target" + }, + { + "type": "STRING", + "value": "<=" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][uU][aA][rR][dD][eE][dD]" + } + } + }, + "named": false, + "value": "guarded" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR][cC][eE]" + } + } + }, + "named": false, + "value": "force" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "force_mode" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_value" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "simple_release_assignment": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_target" + }, + { + "type": "STRING", + "value": "<=" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][uU][aA][rR][dD][eE][dD]" + } + } + }, + "named": false, + "value": "guarded" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][lL][eE][aA][sS][eE]" + } + } + }, + "named": false, + "value": "release" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "force_mode" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "force_mode": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_in" + }, + { + "type": "SYMBOL", + "name": "_out" + } + ] + }, + "delay_mechanism": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "transport" + }, + { + "type": "SYMBOL", + "name": "inertial" + } + ] + }, + "transport": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[tT][rR][aA][nN][sS][pP][oO][rR][tT]" + } + } + }, + "named": false, + "value": "transport" + }, + "inertial": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_reject" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][nN][eE][rR][tT][iI][aA][lL]" + } + } + }, + "named": false, + "value": "inertial" + } + ] + }, + "_reject": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][jJ][eE][cC][tT]" + } + } + }, + "named": false, + "value": "reject" + }, + { + "type": "FIELD", + "name": "reject", + "content": { + "type": "SYMBOL", + "name": "_time_expression" + } + } + ] + }, + "_target": { + "type": "FIELD", + "name": "target", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_name" + }, + { + "type": "SYMBOL", + "name": "aggregate" + } + ] + } + }, + "waveforms": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "unaffected" + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "waveform_element" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "waveform_element" + } + ] + } + } + ] + } + ] + }, + "unaffected": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[uU][nN][aA][fF][fF][eE][cC][tT][eE][dD]" + } + } + }, + "named": false, + "value": "unaffected" + }, + "waveform_element": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_value" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_after" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_after": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][fF][tT][eE][rR]" + } + } + }, + "named": false, + "value": "after" + }, + { + "type": "SYMBOL", + "name": "_time_expression" + } + ] + }, + "_conditional_signal_assignment": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "conditional_waveform_assignment" + }, + { + "type": "SYMBOL", + "name": "conditional_force_assignment" + } + ] + }, + "conditional_waveform_assignment": { + "type": "PREC", + "value": 1, + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_target" + }, + { + "type": "STRING", + "value": "<=" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][uU][aA][rR][dD][eE][dD]" + } + } + }, + "named": false, + "value": "guarded" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "delay_mechanism" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "conditional_waveforms" + }, + { + "type": "STRING", + "value": ";" + } + ] + } + }, + "_when_clause": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][hH][eE][nN]" + } + } + }, + "named": false, + "value": "when" + }, + { + "type": "SYMBOL", + "name": "_condition" + } + ] + }, + "conditional_waveforms": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "waveforms" + }, + { + "type": "SYMBOL", + "name": "_when_clause" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "alternative_conditional_waveforms" + } + } + ] + }, + "alternative_conditional_waveforms": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][lL][sS][eE]" + } + } + }, + "named": false, + "value": "else" + }, + { + "type": "SYMBOL", + "name": "waveforms" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_when_clause" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "conditional_force_assignment": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_target" + }, + { + "type": "STRING", + "value": "<=" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR][cC][eE]" + } + } + }, + "named": false, + "value": "force" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "force_mode" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "conditional_expressions" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "conditional_expressions": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_value" + }, + { + "type": "SYMBOL", + "name": "_when_clause" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "alternative_conditional_expressions" + } + } + ] + }, + "alternative_conditional_expressions": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][lL][sS][eE]" + } + } + }, + "named": false, + "value": "else" + }, + { + "type": "SYMBOL", + "name": "_value" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_when_clause" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_selected_signal_assignment": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "selected_waveform_assignment" + }, + { + "type": "SYMBOL", + "name": "selected_force_assignment" + } + ] + }, + "selected_waveform_assignment": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][iI][tT][hH]" + } + } + }, + "named": false, + "value": "with" + }, + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][eE][lL][eE][cC][tT]" + } + } + }, + "named": false, + "value": "select" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "?" + } + } + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_target" + }, + { + "type": "STRING", + "value": "<=" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][uU][aA][rR][dD][eE][dD]" + } + } + }, + "named": false, + "value": "guarded" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "delay_mechanism" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "selected_waveforms" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "selected_force_assignment": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][iI][tT][hH]" + } + } + }, + "named": false, + "value": "with" + }, + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][eE][lL][eE][cC][tT]" + } + } + }, + "named": false, + "value": "select" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "?" + } + } + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_target" + }, + { + "type": "STRING", + "value": "<=" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR][cC][eE]" + } + } + }, + "named": false, + "value": "force" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "force_mode" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "selected_expressions" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "selected_waveforms": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "waveforms" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][hH][eE][nN]" + } + } + }, + "named": false, + "value": "when" + }, + { + "type": "SYMBOL", + "name": "choices" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "alternative_selected_waveforms" + } + } + ] + }, + "alternative_selected_waveforms": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "waveforms" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][hH][eE][nN]" + } + } + }, + "named": false, + "value": "when" + }, + { + "type": "SYMBOL", + "name": "choices" + } + ] + }, + "selected_expressions": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_value" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][hH][eE][nN]" + } + } + }, + "named": false, + "value": "when" + }, + { + "type": "SYMBOL", + "name": "choices" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "alternative_selected_expressions" + } + } + ] + }, + "alternative_selected_expressions": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_value" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][hH][eE][nN]" + } + } + }, + "named": false, + "value": "when" + }, + { + "type": "SYMBOL", + "name": "choices" + } + ] + }, + "_variable_assignment_statement": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "simple_variable_assignment" + }, + { + "type": "SYMBOL", + "name": "conditional_variable_assignment" + }, + { + "type": "SYMBOL", + "name": "selected_variable_assignment" + } + ] + }, + "simple_variable_assignment": { + "type": "PREC", + "value": 1, + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_target" + }, + { + "type": "STRING", + "value": ":=" + }, + { + "type": "SYMBOL", + "name": "_value" + }, + { + "type": "STRING", + "value": ";" + } + ] + } + }, + "conditional_variable_assignment": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_target" + }, + { + "type": "STRING", + "value": ":=" + }, + { + "type": "SYMBOL", + "name": "conditional_expressions" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "selected_variable_assignment": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][iI][tT][hH]" + } + } + }, + "named": false, + "value": "with" + }, + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][eE][lL][eE][cC][tT]" + } + } + }, + "named": false, + "value": "select" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "?" + } + } + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_target" + }, + { + "type": "STRING", + "value": ":=" + }, + { + "type": "SYMBOL", + "name": "selected_expressions" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "procedure_call_statement": { + "type": "PREC", + "value": "procedure_call", + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][oO][sS][tT][pP][oO][nN][eE][dD]" + } + } + }, + "named": false, + "value": "postponed" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "FIELD", + "name": "procedure", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "association_list" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + } + }, + "if_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "if" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "elsif" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "else" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][fF]" + } + } + }, + "named": false, + "value": "if" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "if": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][fF]" + } + } + }, + "named": false, + "value": "if" + }, + { + "type": "SYMBOL", + "name": "_condition" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[tT][hH][eE][nN]" + } + } + }, + "named": false, + "value": "then" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "sequence_of_statements" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "elsif": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][lL][sS][iI][fF]" + } + } + }, + "named": false, + "value": "elsif" + }, + { + "type": "SYMBOL", + "name": "_condition" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[tT][hH][eE][nN]" + } + } + }, + "named": false, + "value": "then" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "sequence_of_statements" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "else": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][lL][sS][eE]" + } + } + }, + "named": false, + "value": "else" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "sequence_of_statements" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "case_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][aA][sS][eE]" + } + } + }, + "named": false, + "value": "case" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "?" + } + } + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "case_statement_alternative" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][aA][sS][eE]" + } + } + }, + "named": false, + "value": "case" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "?" + } + } + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "case_statement_alternative": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][hH][eE][nN]" + } + } + }, + "named": false, + "value": "when" + }, + { + "type": "SYMBOL", + "name": "choices" + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "=>" + } + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "sequence_of_statements" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "loop_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_iteration_scheme" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][oO][oO][pP]" + } + } + }, + "named": false, + "value": "loop" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "sequence_of_statements" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][oO][oO][pP]" + } + } + }, + "named": false, + "value": "loop" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_iteration_scheme": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "while_loop" + }, + { + "type": "SYMBOL", + "name": "for_loop" + } + ] + }, + "while_loop": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][hH][iI][lL][eE]" + } + } + }, + "named": false, + "value": "while" + }, + { + "type": "SYMBOL", + "name": "_condition" + } + ] + }, + "for_loop": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR]" + } + } + }, + "named": false, + "value": "for" + }, + { + "type": "SYMBOL", + "name": "parameter_specification" + } + ] + }, + "parameter_specification": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][nN]" + } + } + }, + "named": false, + "value": "in" + }, + { + "type": "SYMBOL", + "name": "_discrete_range" + } + ] + }, + "next_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][xX][tT]" + } + } + }, + "named": false, + "value": "next" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_loop_label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_when_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_loop_label": { + "type": "FIELD", + "name": "loop_label", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + "exit_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][xX][iI][tT]" + } + } + }, + "named": false, + "value": "exit" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_loop_label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_when_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "return_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][tT][uU][rR][nN]" + } + } + }, + "named": false, + "value": "return" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "null_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][uU][lL][lL]" + } + } + }, + "named": false, + "value": "null" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "concurrent_statement_part": { + "type": "REPEAT1", + "content": { + "type": "SYMBOL", + "name": "_concurrent_statement" + } + }, + "_concurrent_statement": { + "type": "PREC", + "value": "concurrent_statement", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "block_statement" + }, + { + "type": "SYMBOL", + "name": "process_statement" + }, + { + "type": "SYMBOL", + "name": "component_instantiation_statement" + }, + { + "type": "SYMBOL", + "name": "procedure_call_statement" + }, + { + "type": "SYMBOL", + "name": "assertion_statement" + }, + { + "type": "SYMBOL", + "name": "_concurrent_signal_assignment" + }, + { + "type": "SYMBOL", + "name": "_generate_statement" + }, + { + "type": "SYMBOL", + "name": "_PSL_Directive" + }, + { + "type": "SYMBOL", + "name": "_PSL_Declaration" + } + ] + } + }, + "block_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][lL][oO][cC][kK]" + } + } + }, + "named": false, + "value": "block" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_guard" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_header" + }, + "named": true, + "value": "block_header" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][eE][gG][iI][nN]" + } + } + }, + "named": false, + "value": "begin" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "concurrent_statement_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][lL][oO][cC][kK]" + } + } + }, + "named": false, + "value": "block" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_guard": { + "type": "FIELD", + "name": "guard", + "content": { + "type": "SYMBOL", + "name": "_condition" + } + }, + "process_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][oO][sS][tT][pP][oO][nN][eE][dD]" + } + } + }, + "named": false, + "value": "postponed" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][cC][eE][sS][sS]" + } + } + }, + "named": false, + "value": "process" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "sensitivity_list" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][eE][gG][iI][nN]" + } + } + }, + "named": false, + "value": "begin" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "sequence_of_statements" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][oO][sS][tT][pP][oO][nN][eE][dD]" + } + } + }, + "named": false, + "value": "postponed" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][cC][eE][sS][sS]" + } + } + }, + "named": false, + "value": "process" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_concurrent_signal_assignment": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "simple_waveform_assignment" + }, + "named": true, + "value": "simple_concurrent_signal_assignment" + }, + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "conditional_waveform_assignment" + }, + "named": true, + "value": "conditional_concurrent_signal_assignment" + }, + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "selected_waveform_assignment" + }, + "named": true, + "value": "selected_concurrent_signal_assignment" + } + ] + }, + "component_instantiation_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_entity_aspect" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_header" + }, + "named": true, + "value": "component_map_aspect" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "entity_instantiation": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][tT][iI][tT][yY]" + } + } + }, + "named": false, + "value": "entity" + }, + { + "type": "FIELD", + "name": "entity", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "FIELD", + "name": "architecture", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "configuration_instantiation": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][fF][iI][gG][uU][rR][aA][tT][iI][oO][nN]" + } + } + }, + "named": false, + "value": "configuration" + }, + { + "type": "FIELD", + "name": "configuration", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + } + } + ] + }, + "component_instantiation": { + "type": "PREC", + "value": "component_instantiation", + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][mM][pP][oO][nN][eE][nN][tT]" + } + } + }, + "named": false, + "value": "component" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "FIELD", + "name": "component", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_simple_name" + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + } + } + ] + } + }, + "_generate_statement": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "for_generate_statement" + }, + { + "type": "SYMBOL", + "name": "if_generate_statement" + }, + { + "type": "SYMBOL", + "name": "case_generate_statement" + } + ] + }, + "for_generate_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR]" + } + } + }, + "named": false, + "value": "for" + }, + { + "type": "SYMBOL", + "name": "parameter_specification" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][eE][nN][eE][rR][aA][tT][eE]" + } + } + }, + "named": false, + "value": "generate" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "generate_statement_body" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][eE][nN][eE][rR][aA][tT][eE]" + } + } + }, + "named": false, + "value": "generate" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "if_generate_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "if_generate" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "elsif_generate" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "else_generate" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][eE][nN][eE][rR][aA][tT][eE]" + } + } + }, + "named": false, + "value": "generate" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "if_generate": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][fF]" + } + } + }, + "named": false, + "value": "if" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_condition" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][eE][nN][eE][rR][aA][tT][eE]" + } + } + }, + "named": false, + "value": "generate" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "generate_statement_body" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "elsif_generate": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][lL][sS][iI][fF]" + } + } + }, + "named": false, + "value": "elsif" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_condition" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][eE][nN][eE][rR][aA][tT][eE]" + } + } + }, + "named": false, + "value": "generate" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "generate_statement_body" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "else_generate": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][lL][sS][eE]" + } + } + }, + "named": false, + "value": "else" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][eE][nN][eE][rR][aA][tT][eE]" + } + } + }, + "named": false, + "value": "generate" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "generate_statement_body" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "case_generate_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][aA][sS][eE]" + } + } + }, + "named": false, + "value": "case" + }, + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][eE][nN][eE][rR][aA][tT][eE]" + } + } + }, + "named": false, + "value": "generate" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "case_generate_alternative" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[gG][eE][nN][eE][rR][aA][tT][eE]" + } + } + }, + "named": false, + "value": "generate" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "case_generate_alternative": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][hH][eE][nN]" + } + } + }, + "named": false, + "value": "when" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "choices" + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "=>" + } + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "generate_statement_body" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "generate_statement_body": { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][eE][gG][iI][nN]" + } + } + }, + "named": false, + "value": "begin" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "_concurrent_statement" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + }, + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "declarative_part" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][eE][gG][iI][nN]" + } + } + }, + "named": false, + "value": "begin" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "_concurrent_statement" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + { + "type": "REPEAT1", + "content": { + "type": "SYMBOL", + "name": "_concurrent_statement" + } + } + ] + }, + "label": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_identifier" + }, + { + "type": "STRING", + "value": ":" + } + ] + }, + "use_clause": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[uU][sS][eE]" + } + } + }, + "named": false, + "value": "use" + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + } + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "design_unit": { + "type": "PREC_RIGHT", + "value": 0, + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "context_clause" + }, + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "context_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_library_unit" + } + ] + } + ] + } + }, + "_library_unit": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_primary_unit" + }, + { + "type": "SYMBOL", + "name": "_secondary_unit" + } + ] + }, + "_primary_unit": { + "type": "PREC", + "value": "primary_unit", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "entity_declaration" + }, + { + "type": "SYMBOL", + "name": "configuration_declaration" + }, + { + "type": "SYMBOL", + "name": "package_declaration" + }, + { + "type": "SYMBOL", + "name": "package_instantiation_declaration" + }, + { + "type": "SYMBOL", + "name": "context_declaration" + }, + { + "type": "SYMBOL", + "name": "_PSL_Verification_Unit" + } + ] + } + }, + "_secondary_unit": { + "type": "PREC", + "value": "secondary_unit", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "architecture_body" + }, + { + "type": "SYMBOL", + "name": "package_body" + } + ] + } + }, + "library_clause": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[lL][iI][bB][rR][aA][rR][yY]" + } + } + }, + "named": false, + "value": "library" + }, + { + "type": "SYMBOL", + "name": "logical_name_list" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "logical_name_list": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "library", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "FIELD", + "name": "library", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + } + ] + } + } + ] + }, + "context_declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][tT][eE][xX][tT]" + } + } + }, + "named": false, + "value": "context" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "context_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + } + } + }, + "named": false, + "value": "end" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][tT][eE][xX][tT]" + } + } + }, + "named": false, + "value": "context" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_end_simple_name" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "context_clause": { + "type": "PREC_RIGHT", + "value": 0, + "content": { + "type": "REPEAT1", + "content": { + "type": "SYMBOL", + "name": "_context_item" + } + } + }, + "_context_item": { + "type": "PREC", + "value": "context_item", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "library_clause" + }, + { + "type": "SYMBOL", + "name": "use_clause" + }, + { + "type": "SYMBOL", + "name": "context_reference" + } + ] + } + }, + "context_reference": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][tT][eE][xX][tT]" + } + } + }, + "named": false, + "value": "context" + }, + { + "type": "SYMBOL", + "name": "context_list" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "context_list": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "selected_name" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "selected_name" + } + ] + } + } + ] + }, + "_identifier": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "basic_identifier" + }, + "named": true, + "value": "identifier" + }, + { + "type": "SYMBOL", + "name": "extended_identifier" + } + ] + }, + "basic_identifier": { + "type": "TOKEN", + "content": { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "[a-zA-Z]" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "_" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "PATTERN", + "value": "[a-zA-Z0-9]" + } + ] + } + } + ] + } + }, + "extended_identifier": { + "type": "TOKEN", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "\\" + }, + { + "type": "PATTERN", + "value": "(\\\\\\\\|[^\\r\\n\\\\])*" + }, + { + "type": "STRING", + "value": "\\" + } + ] + } + }, + "_abstract_literal": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "integer_decimal" + }, + { + "type": "SYMBOL", + "name": "real_decimal" + }, + { + "type": "SYMBOL", + "name": "based_integer" + }, + { + "type": "SYMBOL", + "name": "based_real" + } + ] + }, + "integer_decimal": { + "type": "TOKEN", + "content": { + "type": "SEQ", + "members": [ + { + "type": "REPEAT1", + "content": { + "type": "PATTERN", + "value": "[0-9_]" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "e" + }, + { + "type": "STRING", + "value": "E" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "+" + }, + { + "type": "STRING", + "value": "-" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "REPEAT", + "content": { + "type": "PATTERN", + "value": "[0-9_]" + } + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + "real_decimal": { + "type": "TOKEN", + "content": { + "type": "SEQ", + "members": [ + { + "type": "REPEAT1", + "content": { + "type": "PATTERN", + "value": "[0-9_]" + } + }, + { + "type": "STRING", + "value": "." + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "REPEAT1", + "content": { + "type": "PATTERN", + "value": "[0-9_]" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "e" + }, + { + "type": "STRING", + "value": "E" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "+" + }, + { + "type": "STRING", + "value": "-" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "REPEAT", + "content": { + "type": "PATTERN", + "value": "[0-9_]" + } + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + "based_integer": { + "type": "TOKEN", + "content": { + "type": "SEQ", + "members": [ + { + "type": "REPEAT", + "content": { + "type": "STRING", + "value": "0" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "PATTERN", + "value": "[0-9]" + }, + { + "type": "PATTERN", + "value": "1[0-6]" + } + ] + }, + { + "type": "STRING", + "value": "#" + }, + { + "type": "PATTERN", + "value": "[0-9a-fA-F_]*" + }, + { + "type": "STRING", + "value": "#" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "e" + }, + { + "type": "STRING", + "value": "E" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "+" + }, + { + "type": "STRING", + "value": "-" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "REPEAT", + "content": { + "type": "PATTERN", + "value": "[0-9_]" + } + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + "based_real": { + "type": "TOKEN", + "content": { + "type": "SEQ", + "members": [ + { + "type": "REPEAT", + "content": { + "type": "STRING", + "value": "0" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "PATTERN", + "value": "[0-9]" + }, + { + "type": "PATTERN", + "value": "1[0-6]" + } + ] + }, + { + "type": "STRING", + "value": "#" + }, + { + "type": "PATTERN", + "value": "[0-9a-fA-F_]*" + }, + { + "type": "STRING", + "value": "." + }, + { + "type": "PATTERN", + "value": "[0-9a-fA-F_]*" + }, + { + "type": "STRING", + "value": "#" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "e" + }, + { + "type": "STRING", + "value": "E" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "+" + }, + { + "type": "STRING", + "value": "-" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "REPEAT", + "content": { + "type": "PATTERN", + "value": "[0-9_]" + } + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + "character_literal": { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "'.'" + } + ] + }, + { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "'[^;,)\\r\\n]" + }, + { + "type": "STRING", + "value": "'" + } + ] + } + ] + }, + "string_literal": { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "\"(\"\"|[^\"\\r\\n])*\"" + } + ] + }, + { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "\"(\"\"|[^\";,)\\r\\n])*" + }, + { + "type": "STRING", + "value": "\"" + } + ] + } + ] + }, + "bit_string_literal": { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "[0-9]*[uUsS]?[bBoOxX]\"[^\" \\r\\n]*\"" + } + ] + }, + { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "[0-9]*[uUsS]?[bBoOxX]\"[^\" ;,)\\r\\n]*" + }, + { + "type": "STRING", + "value": "\"" + } + ] + }, + { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "[0-9]*[dD]\"[^\" \\r\\n]*\"" + } + ] + }, + { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "[0-9]*[dD]\"[^\" ;,)\\r\\n]*" + }, + { + "type": "STRING", + "value": "\"" + } + ] + } + ] + }, + "comment": { + "type": "TOKEN", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "--.*" + } + }, + { + "type": "PREC", + "value": 2, + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "/*" + }, + { + "type": "PATTERN", + "value": "[^*]*\\*+([^/*][^*]*\\*+)*" + }, + { + "type": "STRING", + "value": "/" + } + ] + } + } + ] + } + }, + "tool_directive": { + "type": "TOKEN", + "content": { + "type": "PATTERN", + "value": "`.*" + } + }, + "_PSL_Identifier": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_identifier" + }, + "named": true, + "value": "PSL_Identifier" + }, + "_PSL_Any_Type": { + "type": "PREC", + "value": 1, + "content": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_expr" + }, + "named": true, + "value": "PSL_Any_Type" + }, + { + "type": "SYMBOL", + "name": "PSL_Expression" + }, + { + "type": "SYMBOL", + "name": "PSL_Built_In_Function_Call" + }, + { + "type": "SYMBOL", + "name": "PSL_Union_Expression" + } + ] + } + }, + "_PSL_Boolean": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_expr" + }, + "named": true, + "value": "PSL_Boolean" + }, + { + "type": "SYMBOL", + "name": "PSL_Expression" + }, + { + "type": "SYMBOL", + "name": "PSL_Built_In_Function_Call" + } + ] + }, + "PSL_Parenthesized_Boolean": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_PSL_Boolean" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "_PSL_Number": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "_expr" + }, + "named": true, + "value": "PSL_Number" + }, + { + "type": "SYMBOL", + "name": "PSL_Built_In_Function_Call" + } + ] + }, + "_PSL_Value": { + "type": "SYMBOL", + "name": "_PSL_Any_Type" + }, + "PSL_Expression": { + "type": "PREC_RIGHT", + "value": "implication", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Boolean" + }, + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "->" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "<->" + } + } + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_PSL_Boolean" + } + ] + } + }, + "PSL_Built_In_Function_Call": { + "type": "PREC", + "value": "psl_function_call", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][eE][vV]" + } + } + }, + "named": false, + "value": "prev" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][xX][tT]" + } + } + }, + "named": false, + "value": "next" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][tT][aA][bB][lL][eE]" + } + } + }, + "named": false, + "value": "stable" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][oO][sS][eE]" + } + } + }, + "named": false, + "value": "rose" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][eE][lL][lL]" + } + } + }, + "named": false, + "value": "fell" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS][uU][nN][kK][nN][oO][wW][nN]" + } + } + }, + "named": false, + "value": "isunknown" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][uU][nN][tT][oO][nN][eE][sS]" + } + } + }, + "named": false, + "value": "countones" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][nN][eE][hH][oO][tT]" + } + } + }, + "named": false, + "value": "onehot" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][nN][eE][hH][oO][tT][00]" + } + } + }, + "named": false, + "value": "onehot0" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][oO][nN][dD][eE][tT]" + } + } + }, + "named": false, + "value": "nondet" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][oO][nN][dD][eE][tT][__][vV][eE][cC][tT][oO][rR]" + } + } + }, + "named": false, + "value": "nondet_vector" + } + ] + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Any_Type" + }, + { + "type": "SYMBOL", + "name": "PSL_Value_Set" + } + ] + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Any_Type" + }, + { + "type": "SYMBOL", + "name": "PSL_Value_Set" + } + ] + } + ] + } + } + ] + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD][eE][dD]" + } + } + }, + "named": false, + "value": "ended" + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_PSL_Sequence" + }, + { + "type": "STRING", + "value": ")" + } + ] + } + ] + } + }, + "_PSL_Clock_Expression": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_condition" + }, + { + "type": "SYMBOL", + "name": "PSL_Built_In_Function_Call" + } + ] + }, + "PSL_Union_Expression": { + "type": "PREC_LEFT", + "value": "union", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Any_Type" + }, + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[uU][nN][iI][oO][nN]" + } + } + }, + "named": false, + "value": "union" + } + }, + { + "type": "SYMBOL", + "name": "_PSL_Any_Type" + } + ] + } + }, + "_PSL_Declaration": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Property_Declaration" + }, + { + "type": "SYMBOL", + "name": "PSL_Sequence_Declaration" + }, + { + "type": "SYMBOL", + "name": "PSL_Clock_Declaration" + } + ] + }, + "PSL_Clock_Declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[dD][eE][fF][aA][uU][lL][tT]" + } + } + }, + "named": false, + "value": "default" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][lL][oO][cC][kK]" + } + } + }, + "named": false, + "value": "clock" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "SYMBOL", + "name": "_PSL_Clock_Expression" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_PSL_SERE": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Boolean" + }, + { + "type": "SYMBOL", + "name": "_PSL_Sequence" + }, + { + "type": "SYMBOL", + "name": "PSL_Simple_SERE" + }, + { + "type": "SYMBOL", + "name": "_PSL_Compound_SERE" + } + ] + }, + "PSL_Simple_SERE": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Fusion_SERE" + }, + { + "type": "SYMBOL", + "name": "_PSL_Concat_SERE" + } + ] + }, + "_PSL_Fusion_SERE": { + "type": "PREC_RIGHT", + "value": "sequence_fusion", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_SERE" + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": ":" + } + } + } + }, + { + "type": "SYMBOL", + "name": "_PSL_SERE" + } + ] + } + } + } + ] + } + }, + "_PSL_Concat_SERE": { + "type": "PREC_RIGHT", + "value": "sequence_concatenation", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_SERE" + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": ";" + } + } + } + }, + { + "type": "SYMBOL", + "name": "_PSL_SERE" + } + ] + } + } + } + ] + } + }, + "_PSL_Compound_SERE": { + "type": "PREC_DYNAMIC", + "value": 1, + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Repeated_SERE" + }, + { + "type": "SYMBOL", + "name": "PSL_Braced_SERE" + }, + { + "type": "SYMBOL", + "name": "PSL_Clocked_SERE" + }, + { + "type": "ALIAS", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Compound_SERE_And" + }, + { + "type": "SYMBOL", + "name": "PSL_Compound_SERE_Within" + } + ] + }, + "named": true, + "value": "PSL_Compound_SERE" + }, + { + "type": "SYMBOL", + "name": "PSL_Parameterized_SERE" + } + ] + } + }, + "PSL_Compound_SERE_Or": { + "type": "PREC_RIGHT", + "value": "sequence_or", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Compound_SERE" + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "|" + } + } + } + }, + { + "type": "SYMBOL", + "name": "_PSL_Compound_SERE" + } + ] + } + } + } + ] + } + }, + "PSL_Compound_SERE_And": { + "type": "PREC_RIGHT", + "value": "sequence_and", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Compound_SERE" + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "&" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "&&" + } + } + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_PSL_Compound_SERE" + } + ] + } + } + } + ] + } + }, + "PSL_Compound_SERE_Within": { + "type": "PREC_RIGHT", + "value": "sequence_within", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Compound_SERE" + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[wW][iI][tT][hH][iI][nN]" + } + } + }, + "named": false, + "value": "within" + } + }, + { + "type": "SYMBOL", + "name": "_PSL_Compound_SERE" + } + ] + } + } + } + ] + } + }, + "PSL_Parameterized_SERE": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR]" + } + } + }, + "named": false, + "value": "for" + }, + { + "type": "SYMBOL", + "name": "PSL_Parameters_Definition" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "|" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "&" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "&&" + } + } + } + ] + } + }, + { + "type": "STRING", + "value": "{" + }, + { + "type": "FIELD", + "name": "SERE", + "content": { + "type": "SYMBOL", + "name": "_PSL_SERE" + } + }, + { + "type": "STRING", + "value": "}" + } + ] + }, + "PSL_Parameters_Definition": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Parameter_Specification" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "PSL_Parameter_Specification" + } + ] + } + } + ] + }, + "PSL_Parameter_Specification": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Identifier" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Index_Range" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][nN]" + } + } + }, + "named": false, + "value": "in" + }, + { + "type": "SYMBOL", + "name": "PSL_Value_Set" + } + ] + }, + "_PSL_Sequence": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Sequence_Instance" + }, + { + "type": "SYMBOL", + "name": "PSL_Repeated_SERE" + }, + { + "type": "SYMBOL", + "name": "PSL_Braced_SERE" + }, + { + "type": "SYMBOL", + "name": "PSL_Clocked_SERE" + } + ] + }, + "PSL_Repeated_SERE": { + "type": "PREC_LEFT", + "value": "SERE_repetition", + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Boolean" + }, + { + "type": "SYMBOL", + "name": "_PSL_Sequence" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "PSL_Count" + } + ] + } + }, + "PSL_Count": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "[" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "IMMEDIATE_TOKEN", + "content": { + "type": "PREC", + "value": 3, + "content": { + "type": "STRING", + "value": "+" + } + } + }, + { + "type": "IMMEDIATE_TOKEN", + "content": { + "type": "PREC", + "value": 3, + "content": { + "type": "STRING", + "value": "*" + } + } + }, + { + "type": "IMMEDIATE_TOKEN", + "content": { + "type": "PREC", + "value": 3, + "content": { + "type": "STRING", + "value": "=" + } + } + }, + { + "type": "IMMEDIATE_TOKEN", + "content": { + "type": "PREC", + "value": 3, + "content": { + "type": "STRING", + "value": "->" + } + } + } + ] + } + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Number" + }, + { + "type": "SYMBOL", + "name": "_PSL_Range" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": "]" + } + ] + }, + "_PSL_Range": { + "type": "SYMBOL", + "name": "_range" + }, + "PSL_Braced_SERE": { + "type": "PREC_RIGHT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "{" + }, + { + "type": "SYMBOL", + "name": "_PSL_SERE" + }, + { + "type": "STRING", + "value": "}" + } + ] + } + }, + "PSL_Clocked_SERE": { + "type": "PREC_LEFT", + "value": "clocked", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "{" + }, + { + "type": "SYMBOL", + "name": "_PSL_SERE" + }, + { + "type": "STRING", + "value": "}" + }, + { + "type": "STRING", + "value": "@" + }, + { + "type": "SYMBOL", + "name": "_PSL_Clock_Expression" + } + ] + } + }, + "_PSL_Property": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Property_Replicator" + }, + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + }, + { + "type": "SYMBOL", + "name": "_PSL_Ambiguous_Instance" + } + ] + }, + "_PSL_FL_Property": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Property_Instance" + }, + { + "type": "SYMBOL", + "name": "PSL_Parenthesized_FL_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Sequential_FL_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Clocked_FL_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Invariant_FL_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Ocurrence_FL_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Extended_Ocurrence_FL_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Termination_FL_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Bounding_FL_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Suffix_Implication_FL_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Parameterized_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Implication_FL_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Logical_FL_Property" + }, + { + "type": "SYMBOL", + "name": "PSL_Factor_FL_Property" + }, + { + "type": "SYMBOL", + "name": "_PSL_Boolean" + } + ] + }, + "PSL_Parenthesized_FL_Property": { + "type": "PREC", + "value": "parenthesized_property", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + }, + { + "type": "STRING", + "value": ")" + } + ] + } + }, + "PSL_Sequential_FL_Property": { + "type": "PREC_LEFT", + "value": -1, + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Sequence" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "!" + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + "PSL_Clocked_FL_Property": { + "type": "PREC_RIGHT", + "value": "clocked", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": "clocked", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "@" + } + } + } + }, + { + "type": "SYMBOL", + "name": "_PSL_Clock_Expression" + } + ] + } + } + } + ] + } + }, + "PSL_Invariant_FL_Property": { + "type": "PREC_RIGHT", + "value": "invariant_property", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][lL][wW][aA][yY][sS]" + } + } + }, + "named": false, + "value": "always" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][vV][eE][rR]" + } + } + }, + "named": false, + "value": "never" + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + } + ] + } + }, + "PSL_Ocurrence_FL_Property": { + "type": "PREC_RIGHT", + "value": "occurrence_property", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][xX][tT]" + } + } + }, + "named": false, + "value": "next" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][vV][eE][nN][tT][uU][aA][lL][lL][yY]" + } + } + }, + "named": false, + "value": "eventually" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "IMMEDIATE_TOKEN", + "content": { + "type": "STRING", + "value": "!" + } + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + } + ] + } + }, + "PSL_Implication_FL_Property": { + "type": "PREC_RIGHT", + "value": "property_implication", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": "property_implication", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "->" + } + } + }, + { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "<->" + } + } + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + } + ] + } + } + } + ] + } + }, + "PSL_Logical_FL_Property": { + "type": "PREC_RIGHT", + "value": "logical_property", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + }, + { + "type": "REPEAT1", + "content": { + "type": "PREC_LEFT", + "value": "logical_property", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][nN][dD]" + } + } + }, + "named": false, + "value": "and" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][rR]" + } + } + }, + "named": false, + "value": "or" + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + } + ] + } + } + } + ] + } + }, + "PSL_Factor_FL_Property": { + "type": "PREC_LEFT", + "value": "property_factor", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][oO][tT]" + } + } + }, + "named": false, + "value": "not" + } + }, + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + } + ] + } + }, + "PSL_Extended_Ocurrence_FL_Property": { + "type": "PREC_RIGHT", + "value": "occurrence_property", + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[eE][vV][eE][nN][tT][uU][aA][lL][lL][yY]" + } + } + }, + "named": false, + "value": "eventually" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][xX][tT]" + } + } + }, + "named": false, + "value": "next" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][xX][tT][__][aA]" + } + } + }, + "named": false, + "value": "next_a" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][xX][tT][__][eE]" + } + } + }, + "named": false, + "value": "next_e" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][xX][tT][__][eE][vV][eE][nN][tT]" + } + } + }, + "named": false, + "value": "next_event" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][xX][tT][__][eE][vV][eE][nN][tT][__][aA]" + } + } + }, + "named": false, + "value": "next_event_a" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][eE][xX][tT][__][eE][vV][eE][nN][tT][__][eE]" + } + } + }, + "named": false, + "value": "next_event_e" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "IMMEDIATE_TOKEN", + "content": { + "type": "STRING", + "value": "!" + } + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_PSL_Extended_Ocurrence_argument" + } + ] + } + }, + "_PSL_Extended_Ocurrence_argument": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Extended_Ocurrence_FL_Property_Count_Specification" + }, + { + "type": "SYMBOL", + "name": "_PSL_Extended_Ocurrence_FL_Property_Until_Specification" + } + ] + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "FIELD", + "name": "Property", + "content": { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + } + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "_PSL_Extended_Ocurrence_FL_Property_Count_Specification": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Count" + } + ] + }, + "_PSL_Extended_Ocurrence_FL_Property_Until_Specification": { + "type": "PREC", + "value": "parenthesized_boolean", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "FIELD", + "name": "Boolean", + "content": { + "type": "SYMBOL", + "name": "_PSL_Boolean" + } + }, + { + "type": "STRING", + "value": ")" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Count" + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + "PSL_Termination_FL_Property": { + "type": "PREC_LEFT", + "value": "termination_property", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + }, + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][sS][yY][nN][cC][__][aA][bB][oO][rR][tT]" + } + } + }, + "named": false, + "value": "async_abort" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][yY][nN][cC][__][aA][bB][oO][rR][tT]" + } + } + }, + "named": false, + "value": "sync_abort" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][bB][oO][rR][tT]" + } + } + }, + "named": false, + "value": "abort" + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_PSL_Boolean" + } + ] + } + }, + "PSL_Bounding_FL_Property": { + "type": "PREC_RIGHT", + "value": "bounding_property", + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + }, + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[uU][nN][tT][iI][lL]" + } + } + }, + "named": false, + "value": "until" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][eE][fF][oO][rR][eE]" + } + } + }, + "named": false, + "value": "before" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "IMMEDIATE_TOKEN", + "content": { + "type": "STRING", + "value": "!" + } + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "IMMEDIATE_TOKEN", + "content": { + "type": "STRING", + "value": "_" + } + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + } + ] + } + }, + "PSL_Suffix_Implication_FL_Property": { + "type": "CHOICE", + "members": [ + { + "type": "PREC_RIGHT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "{" + }, + { + "type": "FIELD", + "name": "SERE", + "content": { + "type": "SYMBOL", + "name": "_PSL_SERE" + } + }, + { + "type": "STRING", + "value": "}" + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "FIELD", + "name": "Property", + "content": { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + } + }, + { + "type": "STRING", + "value": ")" + } + ] + } + }, + { + "type": "PREC_RIGHT", + "value": "sequence_implication", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "Sequence", + "content": { + "type": "SYMBOL", + "name": "_PSL_Sequence" + } + }, + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "|=>" + } + } + } + }, + { + "type": "FIELD", + "name": "Property", + "content": { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + } + } + ] + }, + { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "Sequence", + "content": { + "type": "SYMBOL", + "name": "_PSL_Sequence" + } + }, + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "STRING", + "value": "|->" + } + } + } + }, + { + "type": "FIELD", + "name": "Property", + "content": { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + } + } + ] + } + ] + } + } + ] + }, + "PSL_Parameterized_Property": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR]" + } + } + }, + "named": false, + "value": "for" + }, + { + "type": "SYMBOL", + "name": "PSL_Parameters_Definition" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "FIELD", + "name": "operator", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][nN][dD]" + } + } + }, + "named": false, + "value": "and" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[oO][rR]" + } + } + }, + "named": false, + "value": "or" + } + ] + } + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_PSL_FL_Property" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "PSL_Property_Replicator": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][oO][rR][aA][lL][lL]" + } + } + }, + "named": false, + "value": "forall" + }, + { + "type": "SYMBOL", + "name": "PSL_Parameter_Specification" + }, + { + "type": "STRING", + "value": ":" + }, + { + "type": "FIELD", + "name": "Property", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "PREC_DYNAMIC", + "value": 3, + "content": { + "type": "SYMBOL", + "name": "_PSL_Property_Instance" + } + }, + { + "type": "SYMBOL", + "name": "_PSL_Property" + } + ] + } + } + ] + }, + "PSL_Index_Range": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "_PSL_Range" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "PSL_Value_Set": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "boolean" + }, + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "{" + }, + { + "type": "SYMBOL", + "name": "_PSL_Value_Range" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_PSL_Value_Range" + } + ] + } + }, + { + "type": "STRING", + "value": "}" + } + ] + } + ] + }, + "boolean": { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][oO][oO][lL][eE][aA][nN]" + } + } + }, + "named": false, + "value": "boolean" + }, + "_PSL_Value_Range": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Value" + }, + { + "type": "SYMBOL", + "name": "_PSL_Range" + } + ] + }, + "PSL_Property_Declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][pP][eE][rR][tT][yY]" + } + } + }, + "named": false, + "value": "property" + }, + { + "type": "SYMBOL", + "name": "_PSL_Identifier" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "PSL_Formal_Parameter_List" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "PREC_DYNAMIC", + "value": 3, + "content": { + "type": "SYMBOL", + "name": "_PSL_Property_Instance" + } + }, + { + "type": "SYMBOL", + "name": "_PSL_Property" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "PSL_Sequence_Declaration": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][eE][qQ][uU][eE][nN][cC][eE]" + } + } + }, + "named": false, + "value": "sequence" + }, + { + "type": "SYMBOL", + "name": "_PSL_Identifier" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "PSL_Formal_Parameter_List" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][sS]" + } + } + }, + "named": false, + "value": "is" + }, + { + "type": "SYMBOL", + "name": "_PSL_Sequence" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "PSL_Formal_Parameter_List": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Formal_Parameter" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": ";" + }, + { + "type": "SYMBOL", + "name": "PSL_Formal_Parameter" + } + ] + } + } + ] + }, + "PSL_Formal_Parameter": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Parameter_Specification" + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Identifier" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_PSL_Identifier" + } + ] + } + } + ] + } + ] + }, + "_PSL_Parameter_Specification": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Constant_Parameter_Specification" + }, + { + "type": "SYMBOL", + "name": "PSL_Temporal_Parameter_Specification" + } + ] + }, + "PSL_Constant_Parameter_Specification": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][sS][tT]" + } + } + }, + "named": false, + "value": "const" + }, + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][nN][sS][tT]" + } + } + }, + "named": false, + "value": "const" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_HDL_Type" + }, + { + "type": "SYMBOL", + "name": "PSL_Type_Class" + } + ] + } + ] + } + ] + }, + "PSL_Temporal_Parameter_Specification": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][eE][qQ][uU][eE][nN][cC][eE]" + } + } + }, + "named": false, + "value": "sequence" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[pP][rR][oO][pP][eE][rR][tT][yY]" + } + } + }, + "named": false, + "value": "property" + } + ] + }, + "PSL_HDL_Type": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[hH][dD][lL][tT][yY][pP][eE]" + } + } + }, + "named": false, + "value": "hdltype" + }, + { + "type": "SYMBOL", + "name": "subtype_indication" + } + ] + }, + "PSL_Type_Class": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][oO][oO][lL][eE][aA][nN]" + } + } + }, + "named": false, + "value": "boolean" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][iI][tT]" + } + } + }, + "named": false, + "value": "bit" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[bB][iI][tT][__][vV][eE][cC][tT][oO][rR]" + } + } + }, + "named": false, + "value": "bit_vector" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[nN][uU][mM][eE][rR][iI][cC]" + } + } + }, + "named": false, + "value": "numeric" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][tT][rR][iI][nN][gG]" + } + } + }, + "named": false, + "value": "string" + } + ] + }, + "PSL_Instance": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Identifier" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "PSL_Actual_Parameter_List" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "_PSL_Ambiguous_Instance": { + "type": "PREC_DYNAMIC", + "value": -1, + "content": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "PSL_Instance" + }, + "named": true, + "value": "PSL_Ambiguous_Instance" + } + ] + } + }, + "_PSL_Sequence_Instance": { + "type": "PREC_DYNAMIC", + "value": -2, + "content": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "PSL_Instance" + }, + "named": true, + "value": "PSL_Sequence_Instance" + } + }, + "_PSL_Property_Instance": { + "type": "PREC_DYNAMIC", + "value": -2, + "content": { + "type": "ALIAS", + "content": { + "type": "SYMBOL", + "name": "PSL_Instance" + }, + "named": true, + "value": "PSL_Property_Instance" + } + }, + "PSL_Actual_Parameter_List": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Actual_Parameter" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "PSL_Actual_Parameter" + } + ] + } + } + ] + }, + "PSL_Actual_Parameter": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_Any_Type" + }, + { + "type": "SYMBOL", + "name": "_PSL_Sequence" + }, + { + "type": "SYMBOL", + "name": "_PSL_Property" + } + ] + }, + "_PSL_Directive": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_Assert_Directive" + }, + { + "type": "SYMBOL", + "name": "PSL_Assume_Directive" + }, + { + "type": "SYMBOL", + "name": "PSL_Assume_Guarantee_Directive" + }, + { + "type": "SYMBOL", + "name": "PSL_Restrict_Directive" + }, + { + "type": "SYMBOL", + "name": "PSL_Restrict_Guarantee_Directive" + }, + { + "type": "SYMBOL", + "name": "PSL_Cover_Directive" + }, + { + "type": "SYMBOL", + "name": "PSL_Fairness_Directive" + }, + { + "type": "SYMBOL", + "name": "PSL_Strong_Fairness_Directive" + } + ] + }, + "PSL_Assert_Directive": { + "type": "PREC", + "value": "psl_assertion", + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][sS][sS][eE][rR][tT]" + } + } + }, + "named": false, + "value": "assert" + }, + { + "type": "SYMBOL", + "name": "_PSL_Property" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_report" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + } + }, + "PSL_Assume_Directive": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][sS][sS][uU][mM][eE]" + } + } + }, + "named": false, + "value": "assume" + }, + { + "type": "SYMBOL", + "name": "_PSL_Property" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "PSL_Assume_Guarantee_Directive": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[aA][sS][sS][uU][mM][eE][__][gG][uU][aA][rR][aA][nN][tT][eE][eE]" + } + } + }, + "named": false, + "value": "assume_guarantee" + }, + { + "type": "SYMBOL", + "name": "_PSL_Property" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_report" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "PSL_Restrict_Directive": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][sS][tT][rR][iI][cC][tT]" + } + } + }, + "named": false, + "value": "restrict" + }, + { + "type": "SYMBOL", + "name": "_PSL_Sequence" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "PSL_Restrict_Guarantee_Directive": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[rR][eE][sS][tT][rR][iI][cC][tT][__][gG][uU][aA][rR][aA][nN][tT][eE][eE]" + } + } + }, + "named": false, + "value": "restrict_guarantee" + }, + { + "type": "SYMBOL", + "name": "_PSL_Sequence" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_report" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "PSL_Cover_Directive": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[cC][oO][vV][eE][rR]" + } + } + }, + "named": false, + "value": "cover" + }, + { + "type": "SYMBOL", + "name": "_PSL_Sequence" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_report" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "PSL_Fairness_Directive": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][aA][iI][rR][nN][eE][sS][sS]" + } + } + }, + "named": false, + "value": "fairness" + }, + { + "type": "SYMBOL", + "name": "_PSL_Boolean" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "PSL_Strong_Fairness_Directive": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "label" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[sS][tT][rR][oO][nN][gG]" + } + } + }, + "named": false, + "value": "strong" + }, + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[fF][aA][iI][rR][nN][eE][sS][sS]" + } + } + }, + "named": false, + "value": "fairness" + }, + { + "type": "SYMBOL", + "name": "_PSL_Boolean" + }, + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_PSL_Boolean" + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_PSL_Verification_Unit": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "PSL_VUnit" + }, + { + "type": "SYMBOL", + "name": "PSL_VProp" + }, + { + "type": "SYMBOL", + "name": "PSL_VMode" + } + ] + }, + "PSL_VUnit": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][uU][nN][iI][tT]" + } + } + }, + "named": false, + "value": "vunit" + }, + { + "type": "SYMBOL", + "name": "_PSL_Identifier" + }, + { + "type": "SYMBOL", + "name": "PSL_Verification_Unit_Body" + } + ] + }, + "PSL_VProp": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][pP][rR][oO][pP]" + } + } + }, + "named": false, + "value": "vprop" + }, + { + "type": "SYMBOL", + "name": "_PSL_Identifier" + }, + { + "type": "SYMBOL", + "name": "PSL_Verification_Unit_Body" + } + ] + }, + "PSL_VMode": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[vV][mM][oO][dD][eE]" + } + } + }, + "named": false, + "value": "vmode" + }, + { + "type": "SYMBOL", + "name": "_PSL_Identifier" + }, + { + "type": "SYMBOL", + "name": "PSL_Verification_Unit_Body" + } + ] + }, + "PSL_Verification_Unit_Body": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "PSL_Hierarchical_HDL_Name" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": "{" + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "PSL_Inherit_Spec" + } + }, + { + "type": "REPEAT", + "content": { + "type": "SYMBOL", + "name": "_PSL_VUnit_Item" + } + }, + { + "type": "STRING", + "value": "}" + } + ] + }, + "PSL_Hierarchical_HDL_Name": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_PSL_HDL_Module_NAME" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "IMMEDIATE_TOKEN", + "content": { + "type": "STRING", + "value": "." + } + }, + { + "type": "IMMEDIATE_TOKEN", + "content": { + "type": "STRING", + "value": "/" + } + } + ] + }, + { + "type": "FIELD", + "name": "instance", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + } + ] + } + } + ] + }, + "_PSL_HDL_Module_NAME": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "entity", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "FIELD", + "name": "architecture", + "content": { + "type": "SYMBOL", + "name": "_simple_name" + } + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "PSL_Inherit_Spec": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "TOKEN", + "content": { + "type": "PREC", + "value": 2, + "content": { + "type": "PATTERN", + "value": "[iI][nN][hH][eE][rR][iI][tT]" + } + } + }, + "named": false, + "value": "inherit" + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_name" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_name" + } + ] + } + } + ] + }, + { + "type": "STRING", + "value": ";" + } + ] + }, + "_PSL_VUnit_Item": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_declaration" + }, + { + "type": "SYMBOL", + "name": "_concurrent_statement" + } + ] + } + }, + "extras": [ + { + "type": "SYMBOL", + "name": "comment" + }, + { + "type": "SYMBOL", + "name": "tool_directive" + }, + { + "type": "PATTERN", + "value": "\\s" + } + ], + "conflicts": [ + [ + "_procedure_specification", + "procedure_instantiation_declaration" + ], + [ + "_function_specification", + "function_instantiation_declaration" + ], + [ + "positional_association_element", + "expression_list" + ], + [ + "positional_association_element", + "group_constituent_list", + "_primary" + ], + [ + "index_constraint", + "slice_name" + ], + [ + "resolution_function", + "type_mark", + "_primary" + ], + [ + "type_mark", + "_primary" + ], + [ + "type_mark", + "_primary", + "entity_instantiation" + ], + [ + "type_mark", + "_primary", + "PSL_Hierarchical_HDL_Name" + ], + [ + "type_mark", + "function_call" + ], + [ + "type_mark", + "ambiguous_name", + "function_call" + ], + [ + "type_mark", + "ambiguous_name", + "function_call", + "slice_name" + ], + [ + "type_mark", + "ambiguous_name", + "function_call", + "slice_name", + "record_element_resolution", + "type_mark" + ], + [ + "attribute_name", + "type_mark" + ], + [ + "attribute_name", + "_primary" + ], + [ + "_predefined_designator", + "_predefined_designator_with_expression" + ], + [ + "attribute_name", + "range_attribute_name", + "type_mark" + ], + [ + "positional_association_element", + "index_constraint" + ], + [ + "positional_association_element", + "_primary" + ], + [ + "named_association_element", + "_primary" + ], + [ + "generic_clause" + ], + [ + "port_clause" + ], + [ + "procedure_parameter_clause" + ], + [ + "function_parameter_clause" + ], + [ + "generic_map_aspect" + ], + [ + "port_map_aspect" + ], + [ + "constant_interface_declaration", + "signal_interface_declaration", + "variable_interface_declaration" + ], + [ + "_constant_mode", + "_signal_mode", + "_variable_mode" + ], + [ + "if_generate" + ], + [ + "else_generate" + ], + [ + "elsif_generate" + ], + [ + "elsif_generate" + ], + [ + "generate_statement_body" + ], + [ + "case_generate_alternative" + ], + [ + "PSL_Instance", + "_simple_name" + ], + [ + "_PSL_Property_Instance", + "_PSL_Sequence_Instance", + "_PSL_Ambiguous_Instance" + ], + [ + "_PSL_Property_Instance", + "_PSL_Sequence_Instance" + ], + [ + "PSL_Property_Replicator" + ], + [ + "PSL_Property_Declaration" + ], + [ + "_PSL_Compound_SERE", + "_PSL_Sequence" + ], + [ + "configuration_specification" + ] + ], + "precedences": [ + [ + { + "type": "STRING", + "value": "declaration" + }, + { + "type": "STRING", + "value": "primary_unit" + } + ], + [ + { + "type": "STRING", + "value": "declaration" + }, + { + "type": "STRING", + "value": "secondary_unit" + } + ], + [ + { + "type": "STRING", + "value": "declaration" + }, + { + "type": "STRING", + "value": "context_item" + } + ], + [ + { + "type": "STRING", + "value": "concurrent_statement" + }, + { + "type": "STRING", + "value": "sequential_statement" + } + ], + [ + { + "type": "STRING", + "value": "concurrent_statement" + }, + { + "type": "STRING", + "value": "declaration" + } + ], + [ + { + "type": "STRING", + "value": "component_declaration" + }, + { + "type": "STRING", + "value": "simple_name" + } + ], + [ + { + "type": "STRING", + "value": "procedure_call" + }, + { + "type": "STRING", + "value": "component_instantiation" + } + ], + [ + { + "type": "STRING", + "value": "record_element_constraint" + }, + { + "type": "STRING", + "value": "type_mark" + } + ], + [ + { + "type": "STRING", + "value": "record_element_resolution" + }, + { + "type": "STRING", + "value": "resolution_function" + } + ], + [ + { + "type": "STRING", + "value": "type_mark" + }, + { + "type": "STRING", + "value": "resolution_function" + } + ], + [ + { + "type": "STRING", + "value": "primary" + }, + { + "type": "STRING", + "value": "resolution_function" + } + ], + [ + { + "type": "STRING", + "value": "primary" + }, + { + "type": "STRING", + "value": "physical_literal" + } + ], + [ + { + "type": "STRING", + "value": "attribute_name" + }, + { + "type": "STRING", + "value": "physical_literal" + } + ], + [ + { + "type": "STRING", + "value": "group_constituent_list" + }, + { + "type": "STRING", + "value": "primary" + } + ], + [ + { + "type": "STRING", + "value": "group_constituent_list" + }, + { + "type": "STRING", + "value": "type_mark" + } + ], + [ + { + "type": "STRING", + "value": "generate_statement_element" + }, + { + "type": "STRING", + "value": "primary" + } + ], + [ + { + "type": "STRING", + "value": "vhdl_assertion" + }, + { + "type": "STRING", + "value": "psl_assertion" + } + ], + [ + { + "type": "STRING", + "value": "range" + }, + { + "type": "STRING", + "value": "exponentiation" + }, + { + "type": "STRING", + "value": "factor" + }, + { + "type": "STRING", + "value": "term" + }, + { + "type": "STRING", + "value": "sign" + }, + { + "type": "STRING", + "value": "simple_expression" + }, + { + "type": "STRING", + "value": "shift_expression" + }, + { + "type": "STRING", + "value": "relation" + }, + { + "type": "STRING", + "value": "logical_expression" + }, + { + "type": "STRING", + "value": "reduction" + }, + { + "type": "STRING", + "value": "condition" + } + ], + [ + { + "type": "STRING", + "value": "union" + }, + { + "type": "STRING", + "value": "clocked" + }, + { + "type": "STRING", + "value": "SERE_repetition" + }, + { + "type": "STRING", + "value": "sequence_within" + }, + { + "type": "STRING", + "value": "sequence_and" + }, + { + "type": "STRING", + "value": "sequence_or" + }, + { + "type": "STRING", + "value": "sequence_fusion" + }, + { + "type": "STRING", + "value": "sequence_concatenation" + }, + { + "type": "STRING", + "value": "termination_property" + }, + { + "type": "STRING", + "value": "occurrence_property" + }, + { + "type": "STRING", + "value": "bounding_property" + }, + { + "type": "STRING", + "value": "sequence_implication" + }, + { + "type": "STRING", + "value": "property_implication" + }, + { + "type": "STRING", + "value": "invariant_property" + } + ], + [ + { + "type": "STRING", + "value": "psl_function_call" + }, + { + "type": "STRING", + "value": "parenthesized_boolean" + }, + { + "type": "STRING", + "value": "parenthesized_expression" + }, + { + "type": "STRING", + "value": "occurrence_property" + }, + { + "type": "STRING", + "value": "parenthesized_property" + } + ], + [ + { + "type": "STRING", + "value": "logical_expression" + }, + { + "type": "STRING", + "value": "logical_property" + } + ], + [ + { + "type": "STRING", + "value": "factor" + }, + { + "type": "STRING", + "value": "property_factor" + } + ], + [ + { + "type": "STRING", + "value": "implication" + }, + { + "type": "STRING", + "value": "property_implication" + } + ] + ], + "externals": [], + "inline": [ + "_entity_name", + "_generate_specification", + "_configuration_item", + "_block_specification", + "_designator", + "_subprogram_declaration", + "_subprogram_kind", + "_subprogram_body", + "_subprogram_instantiation_declaration", + "_uninstantiated_name", + "_package_name", + "_scalar_type_definition", + "_range", + "_range_attribute_name", + "_numeric_type_definition", + "_unit", + "_discrete_range", + "_object_declaration", + "_constraint", + "_element_constraint", + "_resolution_indication", + "_generic_interface_declaration", + "_port_interface_declaration", + "_procedure_interface_declaration", + "_function_interface_declaration", + "_alias_denotator", + "_illegal_interface_declaration", + "_subprogram_interface_declaration", + "_formal_part", + "_actual_part", + "_generic_interface_list", + "_port_interface_list", + "_procedure_parameter_list", + "_function_parameter_list", + "_clause", + "_group_template", + "_group_constituent", + "_component_name", + "_name", + "_range_attribute_designator", + "_external_object_name", + "_name_or_label", + "_external_pathname", + "_expression", + "_condition", + "_simple_expression", + "_string_expression", + "_severity_expression", + "_file_open_kind", + "_time_expression", + "_literal", + "_numeric_literal", + "_element_association", + "_value", + "_choice", + "_function_name", + "_signal_name", + "_sensitivity_clause", + "_condition_clause", + "_timeout_clause", + "_signal_assignment_statement", + "_simple_signal_assignment", + "_conditional_signal_assignment", + "_selected_signal_assignment", + "_variable_assignment_statement", + "_iteration_scheme", + "_concurrent_signal_assignment", + "_generate_statement", + "_library_unit", + "ReferenceError", + "ReferenceError", + "_identifier", + "_abstract_literal", + "_PSL_Identifier", + "_PSL_Boolean", + "_PSL_Any_Type", + "_PSL_Clock_Expression", + "_PSL_Value", + "_PSL_FL_Property", + "_PSL_Property", + "_PSL_HDL_Module_NAME" + ], + "supertypes": [] +} + diff --git a/vendored_parsers/tree-sitter-vhdl/src/node-types.json b/vendored_parsers/tree-sitter-vhdl/src/node-types.json new file mode 100644 index 000000000..933d51b02 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/src/node-types.json @@ -0,0 +1,15064 @@ +[ + { + "type": "PSL_Actual_Parameter", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Ambiguous_Instance", + "named": true + }, + { + "type": "PSL_Any_Type", + "named": true + }, + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Property_Replicator", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + }, + { + "type": "PSL_Union_Expression", + "named": true + } + ] + } + }, + { + "type": "PSL_Actual_Parameter_List", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Actual_Parameter", + "named": true + } + ] + } + }, + { + "type": "PSL_Ambiguous_Instance", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Actual_Parameter_List", + "named": true + }, + { + "type": "PSL_Identifier", + "named": true + } + ] + } + }, + { + "type": "PSL_Any_Type", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "PSL_Assert_Directive", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Ambiguous_Instance", + "named": true + }, + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Property_Replicator", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "string_expression", + "named": true + } + ] + } + }, + { + "type": "PSL_Assume_Directive", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Ambiguous_Instance", + "named": true + }, + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Property_Replicator", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "PSL_Assume_Guarantee_Directive", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Ambiguous_Instance", + "named": true + }, + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Property_Replicator", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "string_expression", + "named": true + } + ] + } + }, + { + "type": "PSL_Boolean", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true, + "fields": { + "operator": { + "multiple": true, + "required": true, + "types": [ + { + "type": "!", + "named": false + }, + { + "type": "_", + "named": false + }, + { + "type": "before", + "named": false + }, + { + "type": "until", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + } + }, + { + "type": "PSL_Braced_SERE", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Compound_SERE", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Parameterized_SERE", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + }, + { + "type": "PSL_Simple_SERE", + "named": true + } + ] + } + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Any_Type", + "named": true + }, + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + }, + { + "type": "PSL_Union_Expression", + "named": true + }, + { + "type": "PSL_Value_Set", + "named": true + } + ] + } + }, + { + "type": "PSL_Clock_Declaration", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "conditional_expression", + "named": true + } + ] + } + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true, + "fields": { + "operator": { + "multiple": true, + "required": true, + "types": [ + { + "type": "@", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + }, + { + "type": "conditional_expression", + "named": true + } + ] + } + }, + { + "type": "PSL_Clocked_SERE", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Compound_SERE", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Parameterized_SERE", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + }, + { + "type": "PSL_Simple_SERE", + "named": true + }, + { + "type": "conditional_expression", + "named": true + } + ] + } + }, + { + "type": "PSL_Compound_SERE", + "named": true, + "fields": { + "operator": { + "multiple": true, + "required": true, + "types": [ + { + "type": "&", + "named": false + }, + { + "type": "&&", + "named": false + }, + { + "type": "within", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Compound_SERE", + "named": true + }, + { + "type": "PSL_Parameterized_SERE", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + } + ] + } + }, + { + "type": "PSL_Constant_Parameter_Specification", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "PSL_HDL_Type", + "named": true + }, + { + "type": "PSL_Type_Class", + "named": true + } + ] + } + }, + { + "type": "PSL_Count", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": false, + "types": [ + { + "type": "*", + "named": false + }, + { + "type": "+", + "named": false + }, + { + "type": "->", + "named": false + }, + { + "type": "=", + "named": false + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Number", + "named": true + }, + { + "type": "ascending_range", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "descending_range", + "named": true + } + ] + } + }, + { + "type": "PSL_Cover_Directive", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "string_expression", + "named": true + } + ] + } + }, + { + "type": "PSL_Expression", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "->", + "named": false + }, + { + "type": "<->", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + } + ] + } + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true, + "fields": { + "Boolean": { + "multiple": false, + "required": false, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + } + ] + }, + "Property": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + }, + "operator": { + "multiple": true, + "required": true, + "types": [ + { + "type": "!", + "named": false + }, + { + "type": "eventually", + "named": false + }, + { + "type": "next", + "named": false + }, + { + "type": "next_a", + "named": false + }, + { + "type": "next_e", + "named": false + }, + { + "type": "next_event", + "named": false + }, + { + "type": "next_event_a", + "named": false + }, + { + "type": "next_event_e", + "named": false + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "PSL_Count", + "named": true + } + ] + } + }, + { + "type": "PSL_Factor_FL_Property", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "not", + "named": false + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + } + }, + { + "type": "PSL_Fairness_Directive", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "PSL_Formal_Parameter", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Constant_Parameter_Specification", + "named": true + }, + { + "type": "PSL_Identifier", + "named": true + }, + { + "type": "PSL_Temporal_Parameter_Specification", + "named": true + } + ] + } + }, + { + "type": "PSL_Formal_Parameter_List", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Formal_Parameter", + "named": true + } + ] + } + }, + { + "type": "PSL_HDL_Type", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "PSL_Hierarchical_HDL_Name", + "named": true, + "fields": { + "architecture": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "entity": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "instance": { + "multiple": true, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + } + }, + { + "type": "PSL_Implication_FL_Property", + "named": true, + "fields": { + "operator": { + "multiple": true, + "required": true, + "types": [ + { + "type": "->", + "named": false + }, + { + "type": "<->", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + } + }, + { + "type": "PSL_Index_Range", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ascending_range", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "descending_range", + "named": true + } + ] + } + }, + { + "type": "PSL_Inherit_Spec", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "always", + "named": false + }, + { + "type": "never", + "named": false + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + } + }, + { + "type": "PSL_Logical_FL_Property", + "named": true, + "fields": { + "operator": { + "multiple": true, + "required": true, + "types": [ + { + "type": "and", + "named": false + }, + { + "type": "or", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + } + }, + { + "type": "PSL_Number", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true, + "fields": { + "operator": { + "multiple": true, + "required": true, + "types": [ + { + "type": "!", + "named": false + }, + { + "type": "eventually", + "named": false + }, + { + "type": "next", + "named": false + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + } + }, + { + "type": "PSL_Parameter_Specification", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Identifier", + "named": true + }, + { + "type": "PSL_Index_Range", + "named": true + }, + { + "type": "PSL_Value_Set", + "named": true + } + ] + } + }, + { + "type": "PSL_Parameterized_Property", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "and", + "named": false + }, + { + "type": "or", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parameters_Definition", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + } + }, + { + "type": "PSL_Parameterized_SERE", + "named": true, + "fields": { + "SERE": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Compound_SERE", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Parameterized_SERE", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + }, + { + "type": "PSL_Simple_SERE", + "named": true + } + ] + }, + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "&", + "named": false + }, + { + "type": "&&", + "named": false + }, + { + "type": "|", + "named": false + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Parameters_Definition", + "named": true + } + ] + } + }, + { + "type": "PSL_Parameters_Definition", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Parameter_Specification", + "named": true + } + ] + } + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + } + }, + { + "type": "PSL_Property_Declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Ambiguous_Instance", + "named": true + }, + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Formal_Parameter_List", + "named": true + }, + { + "type": "PSL_Identifier", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Property_Replicator", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + } + }, + { + "type": "PSL_Property_Instance", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Actual_Parameter_List", + "named": true + }, + { + "type": "PSL_Identifier", + "named": true + } + ] + } + }, + { + "type": "PSL_Property_Replicator", + "named": true, + "fields": { + "Property": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Ambiguous_Instance", + "named": true + }, + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Property_Replicator", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Parameter_Specification", + "named": true + } + ] + } + }, + { + "type": "PSL_Repeated_SERE", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Count", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + } + ] + } + }, + { + "type": "PSL_Restrict_Directive", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "PSL_Restrict_Guarantee_Directive", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "string_expression", + "named": true + } + ] + } + }, + { + "type": "PSL_Sequence_Declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Formal_Parameter_List", + "named": true + }, + { + "type": "PSL_Identifier", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + } + ] + } + }, + { + "type": "PSL_Sequence_Instance", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Actual_Parameter_List", + "named": true + }, + { + "type": "PSL_Identifier", + "named": true + } + ] + } + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + } + ] + } + }, + { + "type": "PSL_Simple_SERE", + "named": true, + "fields": { + "operator": { + "multiple": true, + "required": true, + "types": [ + { + "type": ":", + "named": false + }, + { + "type": ";", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Compound_SERE", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Parameterized_SERE", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + }, + { + "type": "PSL_Simple_SERE", + "named": true + } + ] + } + }, + { + "type": "PSL_Strong_Fairness_Directive", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true, + "fields": { + "Property": { + "multiple": false, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + }, + "SERE": { + "multiple": false, + "required": false, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Compound_SERE", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Parameterized_SERE", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + }, + { + "type": "PSL_Simple_SERE", + "named": true + } + ] + }, + "Sequence": { + "multiple": false, + "required": false, + "types": [ + { + "type": "PSL_Braced_SERE", + "named": true + }, + { + "type": "PSL_Clocked_SERE", + "named": true + }, + { + "type": "PSL_Repeated_SERE", + "named": true + }, + { + "type": "PSL_Sequence_Instance", + "named": true + } + ] + }, + "operator": { + "multiple": false, + "required": false, + "types": [ + { + "type": "|->", + "named": false + }, + { + "type": "|=>", + "named": false + } + ] + } + } + }, + { + "type": "PSL_Temporal_Parameter_Specification", + "named": true, + "fields": {} + }, + { + "type": "PSL_Termination_FL_Property", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "abort", + "named": false + }, + { + "type": "async_abort", + "named": false + }, + { + "type": "sync_abort", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Boolean", + "named": true + }, + { + "type": "PSL_Bounding_FL_Property", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Clocked_FL_Property", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Extended_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Factor_FL_Property", + "named": true + }, + { + "type": "PSL_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Invariant_FL_Property", + "named": true + }, + { + "type": "PSL_Logical_FL_Property", + "named": true + }, + { + "type": "PSL_Ocurrence_FL_Property", + "named": true + }, + { + "type": "PSL_Parameterized_Property", + "named": true + }, + { + "type": "PSL_Parenthesized_FL_Property", + "named": true + }, + { + "type": "PSL_Property_Instance", + "named": true + }, + { + "type": "PSL_Sequential_FL_Property", + "named": true + }, + { + "type": "PSL_Suffix_Implication_FL_Property", + "named": true + }, + { + "type": "PSL_Termination_FL_Property", + "named": true + } + ] + } + }, + { + "type": "PSL_Type_Class", + "named": true, + "fields": {} + }, + { + "type": "PSL_Union_Expression", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "union", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Any_Type", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Union_Expression", + "named": true + } + ] + } + }, + { + "type": "PSL_VMode", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Identifier", + "named": true + }, + { + "type": "PSL_Verification_Unit_Body", + "named": true + } + ] + } + }, + { + "type": "PSL_VProp", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Identifier", + "named": true + }, + { + "type": "PSL_Verification_Unit_Body", + "named": true + } + ] + } + }, + { + "type": "PSL_VUnit", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Identifier", + "named": true + }, + { + "type": "PSL_Verification_Unit_Body", + "named": true + } + ] + } + }, + { + "type": "PSL_Value_Set", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Any_Type", + "named": true + }, + { + "type": "PSL_Built_In_Function_Call", + "named": true + }, + { + "type": "PSL_Expression", + "named": true + }, + { + "type": "PSL_Union_Expression", + "named": true + }, + { + "type": "ascending_range", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "boolean", + "named": true + }, + { + "type": "descending_range", + "named": true + } + ] + } + }, + { + "type": "PSL_Verification_Unit_Body", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "PSL_Assert_Directive", + "named": true + }, + { + "type": "PSL_Assume_Directive", + "named": true + }, + { + "type": "PSL_Assume_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Clock_Declaration", + "named": true + }, + { + "type": "PSL_Cover_Directive", + "named": true + }, + { + "type": "PSL_Fairness_Directive", + "named": true + }, + { + "type": "PSL_Hierarchical_HDL_Name", + "named": true + }, + { + "type": "PSL_Inherit_Spec", + "named": true + }, + { + "type": "PSL_Property_Declaration", + "named": true + }, + { + "type": "PSL_Restrict_Directive", + "named": true + }, + { + "type": "PSL_Restrict_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Sequence_Declaration", + "named": true + }, + { + "type": "PSL_Strong_Fairness_Directive", + "named": true + }, + { + "type": "alias_declaration", + "named": true + }, + { + "type": "assertion_statement", + "named": true + }, + { + "type": "attribute_declaration", + "named": true + }, + { + "type": "attribute_specification", + "named": true + }, + { + "type": "block_statement", + "named": true + }, + { + "type": "case_generate_statement", + "named": true + }, + { + "type": "component_declaration", + "named": true + }, + { + "type": "component_instantiation_statement", + "named": true + }, + { + "type": "conditional_concurrent_signal_assignment", + "named": true + }, + { + "type": "configuration_specification", + "named": true + }, + { + "type": "constant_declaration", + "named": true + }, + { + "type": "disconnection_specification", + "named": true + }, + { + "type": "file_declaration", + "named": true + }, + { + "type": "for_generate_statement", + "named": true + }, + { + "type": "full_type_declaration", + "named": true + }, + { + "type": "function_body", + "named": true + }, + { + "type": "function_declaration", + "named": true + }, + { + "type": "function_instantiation_declaration", + "named": true + }, + { + "type": "group_declaration", + "named": true + }, + { + "type": "group_template_declaration", + "named": true + }, + { + "type": "if_generate_statement", + "named": true + }, + { + "type": "incomplete_type_declaration", + "named": true + }, + { + "type": "package_body", + "named": true + }, + { + "type": "package_declaration", + "named": true + }, + { + "type": "package_instantiation_declaration", + "named": true + }, + { + "type": "procedure_body", + "named": true + }, + { + "type": "procedure_call_statement", + "named": true + }, + { + "type": "procedure_declaration", + "named": true + }, + { + "type": "procedure_instantiation_declaration", + "named": true + }, + { + "type": "process_statement", + "named": true + }, + { + "type": "selected_concurrent_signal_assignment", + "named": true + }, + { + "type": "shared_variable_declaration", + "named": true + }, + { + "type": "signal_declaration", + "named": true + }, + { + "type": "simple_concurrent_signal_assignment", + "named": true + }, + { + "type": "subtype_declaration", + "named": true + }, + { + "type": "use_clause", + "named": true + }, + { + "type": "variable_declaration", + "named": true + } + ] + } + }, + { + "type": "absolute_pathname", + "named": true, + "fields": { + "object": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "pathname_element", + "named": true + } + ] + } + }, + { + "type": "access_type_definition", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "aggregate", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "named_element_association", + "named": true + }, + { + "type": "positional_element_association", + "named": true + } + ] + } + }, + { + "type": "alias_declaration", + "named": true, + "fields": { + "denotator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + }, + "designator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "operator_symbol", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "signature", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "all", + "named": true, + "fields": {} + }, + { + "type": "allocator", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "qualified_expression", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "alternative_conditional_expressions", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "conditional_expression", + "named": true + }, + { + "type": "expression", + "named": true + } + ] + } + }, + { + "type": "alternative_conditional_waveforms", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "conditional_expression", + "named": true + }, + { + "type": "waveforms", + "named": true + } + ] + } + }, + { + "type": "alternative_selected_expressions", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "choices", + "named": true + }, + { + "type": "expression", + "named": true + } + ] + } + }, + { + "type": "alternative_selected_waveforms", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "choices", + "named": true + }, + { + "type": "waveforms", + "named": true + } + ] + } + }, + { + "type": "ambiguous_name", + "named": true, + "fields": { + "prefix": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "expression_list", + "named": true + } + ] + } + }, + { + "type": "architecture_body", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "entity": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "concurrent_statement_part", + "named": true + }, + { + "type": "declarative_part", + "named": true + } + ] + } + }, + { + "type": "array_constraint", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "array_element_constraint", + "named": true + }, + { + "type": "index_constraint", + "named": true + } + ] + } + }, + { + "type": "ascending_range", + "named": true, + "fields": { + "high": { + "multiple": false, + "required": true, + "types": [ + { + "type": "simple_expression", + "named": true + } + ] + }, + "low": { + "multiple": false, + "required": true, + "types": [ + { + "type": "simple_expression", + "named": true + } + ] + } + } + }, + { + "type": "assertion_statement", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "conditional_expression", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "severity_expression", + "named": true + }, + { + "type": "string_expression", + "named": true + } + ] + } + }, + { + "type": "association_list", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "named_association_element", + "named": true + }, + { + "type": "positional_association_element", + "named": true + } + ] + } + }, + { + "type": "attribute_declaration", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "type_mark", + "named": true + } + ] + } + }, + { + "type": "attribute_name", + "named": true, + "fields": { + "designator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "predefined_designator", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "prefix": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "expression", + "named": true + }, + { + "type": "signature", + "named": true + } + ] + } + }, + { + "type": "attribute_specification", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "entity_specification", + "named": true + }, + { + "type": "expression", + "named": true + } + ] + } + }, + { + "type": "binding_indication", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "component_instantiation", + "named": true + }, + { + "type": "configuration_instantiation", + "named": true + }, + { + "type": "entity_instantiation", + "named": true + }, + { + "type": "generic_clause", + "named": true + }, + { + "type": "generic_map_aspect", + "named": true + }, + { + "type": "open", + "named": true + }, + { + "type": "port_clause", + "named": true + }, + { + "type": "port_map_aspect", + "named": true + } + ] + } + }, + { + "type": "bit_string_literal", + "named": true, + "fields": {} + }, + { + "type": "block_configuration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "block_configuration", + "named": true + }, + { + "type": "block_specification", + "named": true + }, + { + "type": "component_configuration", + "named": true + }, + { + "type": "use_clause", + "named": true + } + ] + } + }, + { + "type": "block_header", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "generic_clause", + "named": true + }, + { + "type": "generic_map_aspect", + "named": true + }, + { + "type": "port_clause", + "named": true + }, + { + "type": "port_map_aspect", + "named": true + } + ] + } + }, + { + "type": "block_specification", + "named": true, + "fields": { + "name_or_label": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "generate_statement_element", + "named": true + } + ] + } + }, + { + "type": "block_statement", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "guard": { + "multiple": false, + "required": false, + "types": [ + { + "type": "conditional_expression", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "block_header", + "named": true + }, + { + "type": "concurrent_statement_part", + "named": true + }, + { + "type": "declarative_part", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "boolean", + "named": true, + "fields": {} + }, + { + "type": "case_generate_alternative", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "choices", + "named": true + }, + { + "type": "generate_statement_body", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "case_generate_statement", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "case_generate_alternative", + "named": true + }, + { + "type": "expression", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "case_statement", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "case_statement_alternative", + "named": true + }, + { + "type": "expression", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "case_statement_alternative", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "choices", + "named": true + }, + { + "type": "sequence_of_statements", + "named": true + } + ] + } + }, + { + "type": "character_literal", + "named": true, + "fields": {} + }, + { + "type": "choices", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "ascending_range", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "descending_range", + "named": true + }, + { + "type": "others", + "named": true + }, + { + "type": "simple_expression", + "named": true + } + ] + } + }, + { + "type": "component_configuration", + "named": true, + "fields": { + "component": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "binding_indication", + "named": true + }, + { + "type": "block_configuration", + "named": true + }, + { + "type": "instantiation_list", + "named": true + }, + { + "type": "verification_unit_binding_indication", + "named": true + } + ] + } + }, + { + "type": "component_declaration", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "component_header", + "named": true + } + ] + } + }, + { + "type": "component_header", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "generic_clause", + "named": true + }, + { + "type": "generic_map_aspect", + "named": true + }, + { + "type": "port_clause", + "named": true + }, + { + "type": "port_map_aspect", + "named": true + } + ] + } + }, + { + "type": "component_instantiation", + "named": true, + "fields": { + "component": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + } + }, + { + "type": "component_instantiation_statement", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "component_instantiation", + "named": true + }, + { + "type": "component_map_aspect", + "named": true + }, + { + "type": "configuration_instantiation", + "named": true + }, + { + "type": "entity_instantiation", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "open", + "named": true + } + ] + } + }, + { + "type": "component_map_aspect", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "generic_clause", + "named": true + }, + { + "type": "generic_map_aspect", + "named": true + }, + { + "type": "port_clause", + "named": true + }, + { + "type": "port_map_aspect", + "named": true + } + ] + } + }, + { + "type": "concatenation", + "named": true, + "fields": { + "operator": { + "multiple": true, + "required": true, + "types": [ + { + "type": "&", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "concurrent_statement_part", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Assert_Directive", + "named": true + }, + { + "type": "PSL_Assume_Directive", + "named": true + }, + { + "type": "PSL_Assume_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Clock_Declaration", + "named": true + }, + { + "type": "PSL_Cover_Directive", + "named": true + }, + { + "type": "PSL_Fairness_Directive", + "named": true + }, + { + "type": "PSL_Property_Declaration", + "named": true + }, + { + "type": "PSL_Restrict_Directive", + "named": true + }, + { + "type": "PSL_Restrict_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Sequence_Declaration", + "named": true + }, + { + "type": "PSL_Strong_Fairness_Directive", + "named": true + }, + { + "type": "assertion_statement", + "named": true + }, + { + "type": "block_statement", + "named": true + }, + { + "type": "case_generate_statement", + "named": true + }, + { + "type": "component_instantiation_statement", + "named": true + }, + { + "type": "conditional_concurrent_signal_assignment", + "named": true + }, + { + "type": "for_generate_statement", + "named": true + }, + { + "type": "if_generate_statement", + "named": true + }, + { + "type": "procedure_call_statement", + "named": true + }, + { + "type": "process_statement", + "named": true + }, + { + "type": "selected_concurrent_signal_assignment", + "named": true + }, + { + "type": "simple_concurrent_signal_assignment", + "named": true + } + ] + } + }, + { + "type": "condition", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "??", + "named": false + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "conditional_concurrent_signal_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "conditional_waveforms", + "named": true + }, + { + "type": "delay_mechanism", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "conditional_expression", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "conditional_expressions", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "alternative_conditional_expressions", + "named": true + }, + { + "type": "conditional_expression", + "named": true + }, + { + "type": "expression", + "named": true + } + ] + } + }, + { + "type": "conditional_force_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "conditional_expressions", + "named": true + }, + { + "type": "force_mode", + "named": true + } + ] + } + }, + { + "type": "conditional_variable_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "conditional_expressions", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "conditional_waveform_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "conditional_waveforms", + "named": true + }, + { + "type": "delay_mechanism", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "conditional_waveforms", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "alternative_conditional_waveforms", + "named": true + }, + { + "type": "conditional_expression", + "named": true + }, + { + "type": "waveforms", + "named": true + } + ] + } + }, + { + "type": "configuration_declaration", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "entity": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "block_configuration", + "named": true + }, + { + "type": "declarative_part", + "named": true + }, + { + "type": "verification_unit_binding_indication", + "named": true + } + ] + } + }, + { + "type": "configuration_instantiation", + "named": true, + "fields": { + "configuration": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + } + }, + { + "type": "configuration_specification", + "named": true, + "fields": { + "component": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "binding_indication", + "named": true + }, + { + "type": "instantiation_list", + "named": true + }, + { + "type": "verification_unit_binding_indication", + "named": true + } + ] + } + }, + { + "type": "constant_declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "default_expression", + "named": true + }, + { + "type": "identifier_list", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "constant_interface_declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "default_expression", + "named": true + }, + { + "type": "identifier_list", + "named": true + }, + { + "type": "mode", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "constrained_array_definition", + "named": true, + "fields": { + "element": { + "multiple": false, + "required": true, + "types": [ + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "index_constraint", + "named": true + } + ] + } + }, + { + "type": "context_clause", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "context_reference", + "named": true + }, + { + "type": "library_clause", + "named": true + }, + { + "type": "use_clause", + "named": true + } + ] + } + }, + { + "type": "context_declaration", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "context_clause", + "named": true + } + ] + } + }, + { + "type": "context_list", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "selected_name", + "named": true + } + ] + } + }, + { + "type": "context_reference", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "context_list", + "named": true + } + ] + } + }, + { + "type": "declarative_part", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "PSL_Assert_Directive", + "named": true + }, + { + "type": "PSL_Assume_Directive", + "named": true + }, + { + "type": "PSL_Assume_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Clock_Declaration", + "named": true + }, + { + "type": "PSL_Cover_Directive", + "named": true + }, + { + "type": "PSL_Fairness_Directive", + "named": true + }, + { + "type": "PSL_Property_Declaration", + "named": true + }, + { + "type": "PSL_Restrict_Directive", + "named": true + }, + { + "type": "PSL_Restrict_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Sequence_Declaration", + "named": true + }, + { + "type": "PSL_Strong_Fairness_Directive", + "named": true + }, + { + "type": "alias_declaration", + "named": true + }, + { + "type": "attribute_declaration", + "named": true + }, + { + "type": "attribute_specification", + "named": true + }, + { + "type": "component_declaration", + "named": true + }, + { + "type": "configuration_specification", + "named": true + }, + { + "type": "constant_declaration", + "named": true + }, + { + "type": "disconnection_specification", + "named": true + }, + { + "type": "file_declaration", + "named": true + }, + { + "type": "full_type_declaration", + "named": true + }, + { + "type": "function_body", + "named": true + }, + { + "type": "function_declaration", + "named": true + }, + { + "type": "function_instantiation_declaration", + "named": true + }, + { + "type": "group_declaration", + "named": true + }, + { + "type": "group_template_declaration", + "named": true + }, + { + "type": "incomplete_type_declaration", + "named": true + }, + { + "type": "package_body", + "named": true + }, + { + "type": "package_declaration", + "named": true + }, + { + "type": "package_instantiation_declaration", + "named": true + }, + { + "type": "procedure_body", + "named": true + }, + { + "type": "procedure_declaration", + "named": true + }, + { + "type": "procedure_instantiation_declaration", + "named": true + }, + { + "type": "shared_variable_declaration", + "named": true + }, + { + "type": "signal_declaration", + "named": true + }, + { + "type": "subtype_declaration", + "named": true + }, + { + "type": "use_clause", + "named": true + }, + { + "type": "variable_declaration", + "named": true + } + ] + } + }, + { + "type": "default", + "named": true, + "fields": {} + }, + { + "type": "default_expression", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "delay_mechanism", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "inertial", + "named": true + }, + { + "type": "transport", + "named": true + } + ] + } + }, + { + "type": "descending_range", + "named": true, + "fields": { + "high": { + "multiple": false, + "required": true, + "types": [ + { + "type": "simple_expression", + "named": true + } + ] + }, + "low": { + "multiple": false, + "required": true, + "types": [ + { + "type": "simple_expression", + "named": true + } + ] + } + } + }, + { + "type": "design_file", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "PSL_Assert_Directive", + "named": true + }, + { + "type": "PSL_Assume_Directive", + "named": true + }, + { + "type": "PSL_Assume_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Clock_Declaration", + "named": true + }, + { + "type": "PSL_Cover_Directive", + "named": true + }, + { + "type": "PSL_Fairness_Directive", + "named": true + }, + { + "type": "PSL_Property_Declaration", + "named": true + }, + { + "type": "PSL_Restrict_Directive", + "named": true + }, + { + "type": "PSL_Restrict_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Sequence_Declaration", + "named": true + }, + { + "type": "PSL_Strong_Fairness_Directive", + "named": true + }, + { + "type": "alias_declaration", + "named": true + }, + { + "type": "assertion_statement", + "named": true + }, + { + "type": "attribute_declaration", + "named": true + }, + { + "type": "attribute_specification", + "named": true + }, + { + "type": "block_statement", + "named": true + }, + { + "type": "case_generate_statement", + "named": true + }, + { + "type": "case_statement", + "named": true + }, + { + "type": "component_declaration", + "named": true + }, + { + "type": "component_instantiation_statement", + "named": true + }, + { + "type": "conditional_concurrent_signal_assignment", + "named": true + }, + { + "type": "conditional_force_assignment", + "named": true + }, + { + "type": "conditional_variable_assignment", + "named": true + }, + { + "type": "conditional_waveform_assignment", + "named": true + }, + { + "type": "configuration_specification", + "named": true + }, + { + "type": "constant_declaration", + "named": true + }, + { + "type": "design_unit", + "named": true + }, + { + "type": "disconnection_specification", + "named": true + }, + { + "type": "exit_statement", + "named": true + }, + { + "type": "file_declaration", + "named": true + }, + { + "type": "for_generate_statement", + "named": true + }, + { + "type": "full_type_declaration", + "named": true + }, + { + "type": "function_body", + "named": true + }, + { + "type": "function_declaration", + "named": true + }, + { + "type": "function_instantiation_declaration", + "named": true + }, + { + "type": "group_declaration", + "named": true + }, + { + "type": "group_template_declaration", + "named": true + }, + { + "type": "if_generate_statement", + "named": true + }, + { + "type": "if_statement", + "named": true + }, + { + "type": "incomplete_type_declaration", + "named": true + }, + { + "type": "loop_statement", + "named": true + }, + { + "type": "next_statement", + "named": true + }, + { + "type": "null_statement", + "named": true + }, + { + "type": "package_body", + "named": true + }, + { + "type": "package_declaration", + "named": true + }, + { + "type": "package_instantiation_declaration", + "named": true + }, + { + "type": "procedure_body", + "named": true + }, + { + "type": "procedure_call_statement", + "named": true + }, + { + "type": "procedure_declaration", + "named": true + }, + { + "type": "procedure_instantiation_declaration", + "named": true + }, + { + "type": "process_statement", + "named": true + }, + { + "type": "report_statement", + "named": true + }, + { + "type": "return_statement", + "named": true + }, + { + "type": "selected_concurrent_signal_assignment", + "named": true + }, + { + "type": "selected_force_assignment", + "named": true + }, + { + "type": "selected_variable_assignment", + "named": true + }, + { + "type": "selected_waveform_assignment", + "named": true + }, + { + "type": "shared_variable_declaration", + "named": true + }, + { + "type": "signal_declaration", + "named": true + }, + { + "type": "simple_concurrent_signal_assignment", + "named": true + }, + { + "type": "simple_force_assignment", + "named": true + }, + { + "type": "simple_release_assignment", + "named": true + }, + { + "type": "simple_variable_assignment", + "named": true + }, + { + "type": "simple_waveform_assignment", + "named": true + }, + { + "type": "subtype_declaration", + "named": true + }, + { + "type": "use_clause", + "named": true + }, + { + "type": "variable_declaration", + "named": true + }, + { + "type": "wait_statement", + "named": true + } + ] + } + }, + { + "type": "design_unit", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "PSL_VMode", + "named": true + }, + { + "type": "PSL_VProp", + "named": true + }, + { + "type": "PSL_VUnit", + "named": true + }, + { + "type": "architecture_body", + "named": true + }, + { + "type": "configuration_declaration", + "named": true + }, + { + "type": "context_clause", + "named": true + }, + { + "type": "context_declaration", + "named": true + }, + { + "type": "entity_declaration", + "named": true + }, + { + "type": "package_body", + "named": true + }, + { + "type": "package_declaration", + "named": true + }, + { + "type": "package_instantiation_declaration", + "named": true + } + ] + } + }, + { + "type": "disconnection_specification", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "guarded_signal_specification", + "named": true + }, + { + "type": "time_expression", + "named": true + } + ] + } + }, + { + "type": "element_declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "identifier_list", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "else", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "sequence_of_statements", + "named": true + } + ] + } + }, + { + "type": "else_generate", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "generate_statement_body", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "elsif", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "conditional_expression", + "named": true + }, + { + "type": "sequence_of_statements", + "named": true + } + ] + } + }, + { + "type": "elsif_generate", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "conditional_expression", + "named": true + }, + { + "type": "generate_statement_body", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "entity_class", + "named": true, + "fields": {} + }, + { + "type": "entity_class_entry", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "any", + "named": true + }, + { + "type": "entity_class", + "named": true + } + ] + } + }, + { + "type": "entity_class_entry_list", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "entity_class_entry", + "named": true + } + ] + } + }, + { + "type": "entity_declaration", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "concurrent_statement_part", + "named": true + }, + { + "type": "declarative_part", + "named": true + }, + { + "type": "entity_header", + "named": true + } + ] + } + }, + { + "type": "entity_designator", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "operator_symbol", + "named": true + }, + { + "type": "signature", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + { + "type": "entity_header", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "generic_clause", + "named": true + }, + { + "type": "generic_map_aspect", + "named": true + }, + { + "type": "port_clause", + "named": true + }, + { + "type": "port_map_aspect", + "named": true + } + ] + } + }, + { + "type": "entity_instantiation", + "named": true, + "fields": { + "architecture": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "entity": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + } + }, + { + "type": "entity_name_list", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "all", + "named": true + }, + { + "type": "entity_designator", + "named": true + }, + { + "type": "others", + "named": true + } + ] + } + }, + { + "type": "entity_specification", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "entity_class", + "named": true + }, + { + "type": "entity_name_list", + "named": true + } + ] + } + }, + { + "type": "enumeration_type_definition", + "named": true, + "fields": { + "literal": { + "multiple": true, + "required": true, + "types": [ + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + } + }, + { + "type": "exit_statement", + "named": true, + "fields": { + "loop_label": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "conditional_expression", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "exponentiation", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "**", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "expression", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "expression_list", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "expression", + "named": true + } + ] + } + }, + { + "type": "external_constant_name", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "absolute_pathname", + "named": true + }, + { + "type": "package_pathname", + "named": true + }, + { + "type": "relative_pathname", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "external_signal_name", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "absolute_pathname", + "named": true + }, + { + "type": "package_pathname", + "named": true + }, + { + "type": "relative_pathname", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "external_variable_name", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "absolute_pathname", + "named": true + }, + { + "type": "package_pathname", + "named": true + }, + { + "type": "relative_pathname", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "factor", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "abs", + "named": false + }, + { + "type": "not", + "named": false + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "file_declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "file_open_information", + "named": true + }, + { + "type": "identifier_list", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "file_interface_declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "default_expression", + "named": true + }, + { + "type": "identifier_list", + "named": true + }, + { + "type": "mode", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "file_open_information", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "file_open_kind", + "named": true + }, + { + "type": "string_expression", + "named": true + } + ] + } + }, + { + "type": "file_open_kind", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + } + ] + } + }, + { + "type": "file_type_definition", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "type_mark", + "named": true + } + ] + } + }, + { + "type": "for_generate_statement", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "generate_statement_body", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "parameter_specification", + "named": true + } + ] + } + }, + { + "type": "for_loop", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "parameter_specification", + "named": true + } + ] + } + }, + { + "type": "force_mode", + "named": true, + "fields": {} + }, + { + "type": "full_type_declaration", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "access_type_definition", + "named": true + }, + { + "type": "constrained_array_definition", + "named": true + }, + { + "type": "enumeration_type_definition", + "named": true + }, + { + "type": "file_type_definition", + "named": true + }, + { + "type": "numeric_type_definition", + "named": true + }, + { + "type": "physical_type_definition", + "named": true + }, + { + "type": "protected_type_body", + "named": true + }, + { + "type": "protected_type_declaration", + "named": true + }, + { + "type": "record_type_definition", + "named": true + }, + { + "type": "unbounded_array_definition", + "named": true + } + ] + } + }, + { + "type": "function_body", + "named": true, + "fields": { + "at_end": { + "multiple": true, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "function", + "named": false + }, + { + "type": "impure", + "named": false + }, + { + "type": "operator_symbol", + "named": true + }, + { + "type": "procedure", + "named": false + }, + { + "type": "pure", + "named": false + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "designator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "operator_symbol", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "declarative_part", + "named": true + }, + { + "type": "function_parameter_clause", + "named": true + }, + { + "type": "return", + "named": true + }, + { + "type": "sequence_of_statements", + "named": true + }, + { + "type": "subprogram_header", + "named": true + } + ] + } + }, + { + "type": "function_call", + "named": true, + "fields": { + "function": { + "multiple": false, + "required": true, + "types": [ + { + "type": "attribute_name", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "operator_symbol", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "association_list", + "named": true + } + ] + } + }, + { + "type": "function_declaration", + "named": true, + "fields": { + "designator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "operator_symbol", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "function_parameter_clause", + "named": true + }, + { + "type": "return", + "named": true + }, + { + "type": "subprogram_header", + "named": true + } + ] + } + }, + { + "type": "function_instantiation_declaration", + "named": true, + "fields": { + "designator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "operator_symbol", + "named": true + } + ] + }, + "uninstantiated": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "signature", + "named": true + }, + { + "type": "subprogram_map_aspect", + "named": true + } + ] + } + }, + { + "type": "function_interface_declaration", + "named": true, + "fields": { + "designator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "operator_symbol", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "function_parameter_clause", + "named": true + }, + { + "type": "interface_subprogram_default", + "named": true + }, + { + "type": "return", + "named": true + }, + { + "type": "subprogram_header", + "named": true + } + ] + } + }, + { + "type": "function_parameter_clause", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "constant_interface_declaration", + "named": true + }, + { + "type": "file_interface_declaration", + "named": true + }, + { + "type": "function_interface_declaration", + "named": true + }, + { + "type": "package_interface_declaration", + "named": true + }, + { + "type": "procedure_interface_declaration", + "named": true + }, + { + "type": "signal_interface_declaration", + "named": true + }, + { + "type": "type_interface_declaration", + "named": true + }, + { + "type": "variable_interface_declaration", + "named": true + } + ] + } + }, + { + "type": "generate_statement_body", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "PSL_Assert_Directive", + "named": true + }, + { + "type": "PSL_Assume_Directive", + "named": true + }, + { + "type": "PSL_Assume_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Clock_Declaration", + "named": true + }, + { + "type": "PSL_Cover_Directive", + "named": true + }, + { + "type": "PSL_Fairness_Directive", + "named": true + }, + { + "type": "PSL_Property_Declaration", + "named": true + }, + { + "type": "PSL_Restrict_Directive", + "named": true + }, + { + "type": "PSL_Restrict_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Sequence_Declaration", + "named": true + }, + { + "type": "PSL_Strong_Fairness_Directive", + "named": true + }, + { + "type": "assertion_statement", + "named": true + }, + { + "type": "block_statement", + "named": true + }, + { + "type": "case_generate_statement", + "named": true + }, + { + "type": "component_instantiation_statement", + "named": true + }, + { + "type": "conditional_concurrent_signal_assignment", + "named": true + }, + { + "type": "declarative_part", + "named": true + }, + { + "type": "for_generate_statement", + "named": true + }, + { + "type": "if_generate_statement", + "named": true + }, + { + "type": "procedure_call_statement", + "named": true + }, + { + "type": "process_statement", + "named": true + }, + { + "type": "selected_concurrent_signal_assignment", + "named": true + }, + { + "type": "simple_concurrent_signal_assignment", + "named": true + } + ] + } + }, + { + "type": "generate_statement_element", + "named": true, + "fields": { + "label": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "name_or_label": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "specification": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ascending_range", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "descending_range", + "named": true + }, + { + "type": "expression", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + } + }, + { + "type": "generic_clause", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "constant_interface_declaration", + "named": true + }, + { + "type": "file_interface_declaration", + "named": true + }, + { + "type": "function_interface_declaration", + "named": true + }, + { + "type": "package_interface_declaration", + "named": true + }, + { + "type": "procedure_interface_declaration", + "named": true + }, + { + "type": "semicolon", + "named": true + }, + { + "type": "signal_interface_declaration", + "named": true + }, + { + "type": "type_interface_declaration", + "named": true + }, + { + "type": "variable_interface_declaration", + "named": true + } + ] + } + }, + { + "type": "generic_map_aspect", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "any", + "named": true + }, + { + "type": "association_list", + "named": true + }, + { + "type": "default", + "named": true + }, + { + "type": "semicolon", + "named": true + } + ] + } + }, + { + "type": "group_constituent_list", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + { + "type": "group_declaration", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + }, + "template": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "group_constituent_list", + "named": true + } + ] + } + }, + { + "type": "group_template_declaration", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "entity_class_entry_list", + "named": true + } + ] + } + }, + { + "type": "guarded_signal_specification", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "signal_list", + "named": true + }, + { + "type": "type_mark", + "named": true + } + ] + } + }, + { + "type": "identifier_list", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + { + "type": "if", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "conditional_expression", + "named": true + }, + { + "type": "sequence_of_statements", + "named": true + } + ] + } + }, + { + "type": "if_generate", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "conditional_expression", + "named": true + }, + { + "type": "generate_statement_body", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "if_generate_statement", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "else_generate", + "named": true + }, + { + "type": "elsif_generate", + "named": true + }, + { + "type": "if_generate", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "if_statement", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "else", + "named": true + }, + { + "type": "elsif", + "named": true + }, + { + "type": "if", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "incomplete_type_declaration", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + } + }, + { + "type": "index_constraint", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "ascending_range", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "descending_range", + "named": true + }, + { + "type": "open", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "index_subtype_definition", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "any", + "named": true + }, + { + "type": "type_mark", + "named": true + } + ] + } + }, + { + "type": "inertial", + "named": true, + "fields": { + "reject": { + "multiple": false, + "required": false, + "types": [ + { + "type": "time_expression", + "named": true + } + ] + } + } + }, + { + "type": "inertial_expression", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "instantiation_list", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "all", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "others", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + { + "type": "interface_subprogram_default", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "operator_symbol", + "named": true + }, + { + "type": "same", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + { + "type": "label", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + { + "type": "library_clause", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "logical_name_list", + "named": true + } + ] + } + }, + { + "type": "logical_expression", + "named": true, + "fields": { + "operator": { + "multiple": true, + "required": true, + "types": [ + { + "type": "and", + "named": false + }, + { + "type": "nand", + "named": false + }, + { + "type": "nor", + "named": false + }, + { + "type": "or", + "named": false + }, + { + "type": "xnor", + "named": false + }, + { + "type": "xor", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "logical_name_list", + "named": true, + "fields": { + "library": { + "multiple": true, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + } + }, + { + "type": "loop_statement", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "for_loop", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "sequence_of_statements", + "named": true + }, + { + "type": "while_loop", + "named": true + } + ] + } + }, + { + "type": "mode", + "named": true, + "fields": {} + }, + { + "type": "named_association_element", + "named": true, + "fields": { + "actual_part": { + "multiple": false, + "required": true, + "types": [ + { + "type": "character_literal", + "named": true + }, + { + "type": "expression", + "named": true + }, + { + "type": "inertial_expression", + "named": true + }, + { + "type": "open", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + }, + "formal_part": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "others", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + } + }, + { + "type": "named_element_association", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "choices", + "named": true + }, + { + "type": "expression", + "named": true + } + ] + } + }, + { + "type": "next_statement", + "named": true, + "fields": { + "loop_label": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "conditional_expression", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "null", + "named": true, + "fields": {} + }, + { + "type": "null_statement", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "numeric_type_definition", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ascending_range", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "descending_range", + "named": true + } + ] + } + }, + { + "type": "open", + "named": true, + "fields": {} + }, + { + "type": "operator_symbol", + "named": true, + "fields": {} + }, + { + "type": "others", + "named": true, + "fields": {} + }, + { + "type": "package_body", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "package": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "declarative_part", + "named": true + } + ] + } + }, + { + "type": "package_declaration", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "declarative_part", + "named": true + }, + { + "type": "package_header", + "named": true + } + ] + } + }, + { + "type": "package_header", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "generic_clause", + "named": true + }, + { + "type": "generic_map_aspect", + "named": true + }, + { + "type": "port_clause", + "named": true + }, + { + "type": "port_map_aspect", + "named": true + } + ] + } + }, + { + "type": "package_instantiation_declaration", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + }, + "uninstantiated": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "package_map_aspect", + "named": true + } + ] + } + }, + { + "type": "package_interface_declaration", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + }, + "uninstantiated": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "package_map_aspect", + "named": true + } + ] + } + }, + { + "type": "package_map_aspect", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "generic_clause", + "named": true + }, + { + "type": "generic_map_aspect", + "named": true + }, + { + "type": "port_clause", + "named": true + }, + { + "type": "port_map_aspect", + "named": true + } + ] + } + }, + { + "type": "package_pathname", + "named": true, + "fields": { + "library": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "object": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "package": { + "multiple": true, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + } + }, + { + "type": "parameter_specification", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ascending_range", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "descending_range", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "parenthesized_expression", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "parenthesized_resolution", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "parenthesized_resolution", + "named": true + }, + { + "type": "record_resolution", + "named": true + }, + { + "type": "resolution_function", + "named": true + } + ] + } + }, + { + "type": "pathname_element", + "named": true, + "fields": { + "name_or_label": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "generate_statement_element", + "named": true + } + ] + } + }, + { + "type": "physical_literal", + "named": true, + "fields": { + "unit": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "real_decimal", + "named": true + } + ] + } + }, + { + "type": "physical_type_definition", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "primary_unit_declaration", + "named": true + }, + { + "type": "range_constraint", + "named": true + }, + { + "type": "secondary_unit_declaration", + "named": true + } + ] + } + }, + { + "type": "port_clause", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "constant_interface_declaration", + "named": true + }, + { + "type": "file_interface_declaration", + "named": true + }, + { + "type": "function_interface_declaration", + "named": true + }, + { + "type": "package_interface_declaration", + "named": true + }, + { + "type": "procedure_interface_declaration", + "named": true + }, + { + "type": "semicolon", + "named": true + }, + { + "type": "signal_interface_declaration", + "named": true + }, + { + "type": "type_interface_declaration", + "named": true + }, + { + "type": "variable_interface_declaration", + "named": true + } + ] + } + }, + { + "type": "port_map_aspect", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "association_list", + "named": true + }, + { + "type": "semicolon", + "named": true + } + ] + } + }, + { + "type": "positional_association_element", + "named": true, + "fields": { + "actual_part": { + "multiple": false, + "required": true, + "types": [ + { + "type": "character_literal", + "named": true + }, + { + "type": "expression", + "named": true + }, + { + "type": "inertial_expression", + "named": true + }, + { + "type": "open", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + } + }, + { + "type": "positional_element_association", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "expression", + "named": true + } + ] + } + }, + { + "type": "predefined_designator", + "named": true, + "fields": {} + }, + { + "type": "primary_unit_declaration", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + } + }, + { + "type": "procedure_body", + "named": true, + "fields": { + "at_end": { + "multiple": true, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "function", + "named": false + }, + { + "type": "impure", + "named": false + }, + { + "type": "operator_symbol", + "named": true + }, + { + "type": "procedure", + "named": false + }, + { + "type": "pure", + "named": false + }, + { + "type": "simple_name", + "named": true + } + ] + }, + "designator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "operator_symbol", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "declarative_part", + "named": true + }, + { + "type": "procedure_parameter_clause", + "named": true + }, + { + "type": "return", + "named": true + }, + { + "type": "sequence_of_statements", + "named": true + }, + { + "type": "subprogram_header", + "named": true + } + ] + } + }, + { + "type": "procedure_call_statement", + "named": true, + "fields": { + "procedure": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "association_list", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "procedure_declaration", + "named": true, + "fields": { + "designator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "operator_symbol", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "procedure_parameter_clause", + "named": true + }, + { + "type": "return", + "named": true + }, + { + "type": "subprogram_header", + "named": true + } + ] + } + }, + { + "type": "procedure_instantiation_declaration", + "named": true, + "fields": { + "designator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "operator_symbol", + "named": true + } + ] + }, + "uninstantiated": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "signature", + "named": true + }, + { + "type": "subprogram_map_aspect", + "named": true + } + ] + } + }, + { + "type": "procedure_interface_declaration", + "named": true, + "fields": { + "designator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "operator_symbol", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "interface_subprogram_default", + "named": true + }, + { + "type": "procedure_parameter_clause", + "named": true + }, + { + "type": "return", + "named": true + }, + { + "type": "subprogram_header", + "named": true + } + ] + } + }, + { + "type": "procedure_parameter_clause", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "constant_interface_declaration", + "named": true + }, + { + "type": "file_interface_declaration", + "named": true + }, + { + "type": "function_interface_declaration", + "named": true + }, + { + "type": "package_interface_declaration", + "named": true + }, + { + "type": "procedure_interface_declaration", + "named": true + }, + { + "type": "signal_interface_declaration", + "named": true + }, + { + "type": "type_interface_declaration", + "named": true + }, + { + "type": "variable_interface_declaration", + "named": true + } + ] + } + }, + { + "type": "process_statement", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "declarative_part", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "sensitivity_list", + "named": true + }, + { + "type": "sequence_of_statements", + "named": true + } + ] + } + }, + { + "type": "protected_type_body", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "declarative_part", + "named": true + } + ] + } + }, + { + "type": "protected_type_declaration", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "declarative_part", + "named": true + } + ] + } + }, + { + "type": "qualified_expression", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "expression", + "named": true + }, + { + "type": "type_mark", + "named": true + } + ] + } + }, + { + "type": "range_constraint", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ascending_range", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "descending_range", + "named": true + } + ] + } + }, + { + "type": "record_constraint", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "record_element_constraint", + "named": true + } + ] + } + }, + { + "type": "record_element_constraint", + "named": true, + "fields": { + "element": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "array_constraint", + "named": true + }, + { + "type": "record_constraint", + "named": true + } + ] + } + }, + { + "type": "record_element_resolution", + "named": true, + "fields": { + "element": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "parenthesized_resolution", + "named": true + }, + { + "type": "record_resolution", + "named": true + }, + { + "type": "resolution_function", + "named": true + } + ] + } + }, + { + "type": "record_resolution", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "record_element_resolution", + "named": true + } + ] + } + }, + { + "type": "record_type_definition", + "named": true, + "fields": { + "at_end": { + "multiple": false, + "required": false, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "element_declaration", + "named": true + } + ] + } + }, + { + "type": "reduction", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "and", + "named": false + }, + { + "type": "nand", + "named": false + }, + { + "type": "nor", + "named": false + }, + { + "type": "or", + "named": false + }, + { + "type": "xnor", + "named": false + }, + { + "type": "xor", + "named": false + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "relation", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "/=", + "named": false + }, + { + "type": "<", + "named": false + }, + { + "type": "<=", + "named": false + }, + { + "type": "=", + "named": false + }, + { + "type": ">", + "named": false + }, + { + "type": ">=", + "named": false + }, + { + "type": "?/=", + "named": false + }, + { + "type": "?<", + "named": false + }, + { + "type": "?<=", + "named": false + }, + { + "type": "?=", + "named": false + }, + { + "type": "?>", + "named": false + }, + { + "type": "?>=", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "relative_pathname", + "named": true, + "fields": { + "object": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "pathname_element", + "named": true + } + ] + } + }, + { + "type": "report_statement", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "label", + "named": true + }, + { + "type": "severity_expression", + "named": true + }, + { + "type": "string_expression", + "named": true + } + ] + } + }, + { + "type": "resolution_function", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + { + "type": "return", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "type_mark", + "named": true + } + ] + } + }, + { + "type": "return_statement", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "expression", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "secondary_unit_declaration", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "physical_literal", + "named": true + } + ] + } + }, + { + "type": "selected_concurrent_signal_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "delay_mechanism", + "named": true + }, + { + "type": "expression", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "selected_waveforms", + "named": true + } + ] + } + }, + { + "type": "selected_expressions", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "alternative_selected_expressions", + "named": true + }, + { + "type": "choices", + "named": true + }, + { + "type": "expression", + "named": true + } + ] + } + }, + { + "type": "selected_force_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "expression", + "named": true + }, + { + "type": "force_mode", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "selected_expressions", + "named": true + } + ] + } + }, + { + "type": "selected_name", + "named": true, + "fields": { + "prefix": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + }, + "suffix": { + "multiple": false, + "required": true, + "types": [ + { + "type": "all", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "operator_symbol", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + } + }, + { + "type": "selected_variable_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "expression", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "selected_expressions", + "named": true + } + ] + } + }, + { + "type": "selected_waveform_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "delay_mechanism", + "named": true + }, + { + "type": "expression", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "selected_waveforms", + "named": true + } + ] + } + }, + { + "type": "selected_waveforms", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "alternative_selected_waveforms", + "named": true + }, + { + "type": "choices", + "named": true + }, + { + "type": "waveforms", + "named": true + } + ] + } + }, + { + "type": "sensitivity_list", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "all", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + { + "type": "sequence_of_statements", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "PSL_Assert_Directive", + "named": true + }, + { + "type": "PSL_Assume_Directive", + "named": true + }, + { + "type": "PSL_Assume_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Clock_Declaration", + "named": true + }, + { + "type": "PSL_Cover_Directive", + "named": true + }, + { + "type": "PSL_Fairness_Directive", + "named": true + }, + { + "type": "PSL_Property_Declaration", + "named": true + }, + { + "type": "PSL_Restrict_Directive", + "named": true + }, + { + "type": "PSL_Restrict_Guarantee_Directive", + "named": true + }, + { + "type": "PSL_Sequence_Declaration", + "named": true + }, + { + "type": "PSL_Strong_Fairness_Directive", + "named": true + }, + { + "type": "assertion_statement", + "named": true + }, + { + "type": "case_statement", + "named": true + }, + { + "type": "conditional_force_assignment", + "named": true + }, + { + "type": "conditional_variable_assignment", + "named": true + }, + { + "type": "conditional_waveform_assignment", + "named": true + }, + { + "type": "exit_statement", + "named": true + }, + { + "type": "if_statement", + "named": true + }, + { + "type": "loop_statement", + "named": true + }, + { + "type": "next_statement", + "named": true + }, + { + "type": "null_statement", + "named": true + }, + { + "type": "procedure_call_statement", + "named": true + }, + { + "type": "process_statement", + "named": true + }, + { + "type": "report_statement", + "named": true + }, + { + "type": "return_statement", + "named": true + }, + { + "type": "selected_force_assignment", + "named": true + }, + { + "type": "selected_variable_assignment", + "named": true + }, + { + "type": "selected_waveform_assignment", + "named": true + }, + { + "type": "simple_force_assignment", + "named": true + }, + { + "type": "simple_release_assignment", + "named": true + }, + { + "type": "simple_variable_assignment", + "named": true + }, + { + "type": "simple_waveform_assignment", + "named": true + }, + { + "type": "wait_statement", + "named": true + } + ] + } + }, + { + "type": "severity_expression", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + } + ] + } + }, + { + "type": "shared_variable_declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "default_expression", + "named": true + }, + { + "type": "identifier_list", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "shift_expression", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "rol", + "named": false + }, + { + "type": "ror", + "named": false + }, + { + "type": "sla", + "named": false + }, + { + "type": "sll", + "named": false + }, + { + "type": "sra", + "named": false + }, + { + "type": "srl", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "sign", + "named": true, + "fields": { + "operator": { + "multiple": false, + "required": true, + "types": [ + { + "type": "+", + "named": false + }, + { + "type": "-", + "named": false + } + ] + } + }, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "signal_declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "default_expression", + "named": true + }, + { + "type": "identifier_list", + "named": true + }, + { + "type": "signal_kind", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "signal_interface_declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "default_expression", + "named": true + }, + { + "type": "identifier_list", + "named": true + }, + { + "type": "mode", + "named": true + }, + { + "type": "signal_kind", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "signal_kind", + "named": true, + "fields": {} + }, + { + "type": "signal_list", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "all", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "others", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + { + "type": "signature", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "return", + "named": true + }, + { + "type": "type_mark", + "named": true + } + ] + } + }, + { + "type": "simple_concurrent_signal_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "delay_mechanism", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "waveforms", + "named": true + } + ] + } + }, + { + "type": "simple_expression", + "named": true, + "fields": { + "operator": { + "multiple": true, + "required": false, + "types": [ + { + "type": "+", + "named": false + }, + { + "type": "-", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "simple_force_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "expression", + "named": true + }, + { + "type": "force_mode", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "simple_release_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "force_mode", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "simple_variable_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "expression", + "named": true + }, + { + "type": "label", + "named": true + } + ] + } + }, + { + "type": "simple_waveform_assignment", + "named": true, + "fields": { + "target": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "delay_mechanism", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "waveforms", + "named": true + } + ] + } + }, + { + "type": "slice_name", + "named": true, + "fields": { + "prefix": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "ascending_range", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "descending_range", + "named": true + } + ] + } + }, + { + "type": "string_expression", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "string_literal", + "named": true, + "fields": {} + }, + { + "type": "subprogram_header", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "generic_clause", + "named": true + }, + { + "type": "generic_map_aspect", + "named": true + }, + { + "type": "port_clause", + "named": true + }, + { + "type": "port_map_aspect", + "named": true + } + ] + } + }, + { + "type": "subprogram_map_aspect", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "generic_clause", + "named": true + }, + { + "type": "generic_map_aspect", + "named": true + }, + { + "type": "port_clause", + "named": true + }, + { + "type": "port_map_aspect", + "named": true + } + ] + } + }, + { + "type": "subtype_declaration", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "subtype_indication", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "array_constraint", + "named": true + }, + { + "type": "parenthesized_resolution", + "named": true + }, + { + "type": "range_constraint", + "named": true + }, + { + "type": "record_constraint", + "named": true + }, + { + "type": "record_resolution", + "named": true + }, + { + "type": "resolution_function", + "named": true + }, + { + "type": "type_mark", + "named": true + } + ] + } + }, + { + "type": "term", + "named": true, + "fields": { + "operator": { + "multiple": true, + "required": true, + "types": [ + { + "type": "*", + "named": false + }, + { + "type": "/", + "named": false + }, + { + "type": "mod", + "named": false + }, + { + "type": "rem", + "named": false + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "time_expression", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "aggregate", + "named": true + }, + { + "type": "allocator", + "named": true + }, + { + "type": "ambiguous_name", + "named": true + }, + { + "type": "attribute_name", + "named": true + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "bit_string_literal", + "named": true + }, + { + "type": "character_literal", + "named": true + }, + { + "type": "concatenation", + "named": true + }, + { + "type": "condition", + "named": true + }, + { + "type": "exponentiation", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "external_constant_name", + "named": true + }, + { + "type": "external_signal_name", + "named": true + }, + { + "type": "external_variable_name", + "named": true + }, + { + "type": "factor", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "logical_expression", + "named": true + }, + { + "type": "null", + "named": true + }, + { + "type": "parenthesized_expression", + "named": true + }, + { + "type": "physical_literal", + "named": true + }, + { + "type": "qualified_expression", + "named": true + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "reduction", + "named": true + }, + { + "type": "relation", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "shift_expression", + "named": true + }, + { + "type": "sign", + "named": true + }, + { + "type": "simple_expression", + "named": true + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "slice_name", + "named": true + }, + { + "type": "string_literal", + "named": true + }, + { + "type": "term", + "named": true + } + ] + } + }, + { + "type": "transport", + "named": true, + "fields": {} + }, + { + "type": "type_interface_declaration", + "named": true, + "fields": { + "name": { + "multiple": false, + "required": true, + "types": [ + { + "type": "extended_identifier", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + } + }, + { + "type": "type_mark", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "attribute_name", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + { + "type": "unaffected", + "named": true, + "fields": {} + }, + { + "type": "unbounded_array_definition", + "named": true, + "fields": { + "element": { + "multiple": false, + "required": true, + "types": [ + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "index_subtype_definition", + "named": true + } + ] + } + }, + { + "type": "use_clause", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "selected_name", + "named": true + } + ] + } + }, + { + "type": "variable_declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "default_expression", + "named": true + }, + { + "type": "identifier_list", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "variable_interface_declaration", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "default_expression", + "named": true + }, + { + "type": "identifier_list", + "named": true + }, + { + "type": "mode", + "named": true + }, + { + "type": "subtype_indication", + "named": true + } + ] + } + }, + { + "type": "verification_unit_binding_indication", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "verification_unit_list", + "named": true + } + ] + } + }, + { + "type": "verification_unit_list", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "selected_name", + "named": true + }, + { + "type": "simple_name", + "named": true + } + ] + } + }, + { + "type": "wait_statement", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "conditional_expression", + "named": true + }, + { + "type": "label", + "named": true + }, + { + "type": "sensitivity_list", + "named": true + }, + { + "type": "time_expression", + "named": true + } + ] + } + }, + { + "type": "waveform_element", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "expression", + "named": true + }, + { + "type": "time_expression", + "named": true + } + ] + } + }, + { + "type": "waveforms", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "unaffected", + "named": true + }, + { + "type": "waveform_element", + "named": true + } + ] + } + }, + { + "type": "while_loop", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "conditional_expression", + "named": true + } + ] + } + }, + { + "type": "!", + "named": false + }, + { + "type": "\"", + "named": false + }, + { + "type": "&", + "named": false + }, + { + "type": "&&", + "named": false + }, + { + "type": "'", + "named": false + }, + { + "type": "(", + "named": false + }, + { + "type": ")", + "named": false + }, + { + "type": "*", + "named": false + }, + { + "type": "**", + "named": false + }, + { + "type": "+", + "named": false + }, + { + "type": ",", + "named": false + }, + { + "type": "-", + "named": false + }, + { + "type": "->", + "named": false + }, + { + "type": ".", + "named": false + }, + { + "type": "/", + "named": false + }, + { + "type": "/=", + "named": false + }, + { + "type": ":", + "named": false + }, + { + "type": ":=", + "named": false + }, + { + "type": ";", + "named": false + }, + { + "type": "<", + "named": false + }, + { + "type": "<->", + "named": false + }, + { + "type": "<<", + "named": false + }, + { + "type": "<=", + "named": false + }, + { + "type": "=", + "named": false + }, + { + "type": "=>", + "named": false + }, + { + "type": ">", + "named": false + }, + { + "type": ">=", + "named": false + }, + { + "type": ">>", + "named": false + }, + { + "type": "?", + "named": false + }, + { + "type": "?/=", + "named": false + }, + { + "type": "?<", + "named": false + }, + { + "type": "?<=", + "named": false + }, + { + "type": "?=", + "named": false + }, + { + "type": "?>", + "named": false + }, + { + "type": "?>=", + "named": false + }, + { + "type": "??", + "named": false + }, + { + "type": "@", + "named": false + }, + { + "type": "[", + "named": false + }, + { + "type": "]", + "named": false + }, + { + "type": "^.", + "named": false + }, + { + "type": "_", + "named": false + }, + { + "type": "abort", + "named": false + }, + { + "type": "abs", + "named": false + }, + { + "type": "access", + "named": false + }, + { + "type": "active", + "named": false + }, + { + "type": "after", + "named": false + }, + { + "type": "alias", + "named": false + }, + { + "type": "all", + "named": false + }, + { + "type": "always", + "named": false + }, + { + "type": "and", + "named": false + }, + { + "type": "any", + "named": true + }, + { + "type": "architecture", + "named": false + }, + { + "type": "array", + "named": false + }, + { + "type": "ascending", + "named": false + }, + { + "type": "assert", + "named": false + }, + { + "type": "assume", + "named": false + }, + { + "type": "assume_guarantee", + "named": false + }, + { + "type": "async_abort", + "named": false + }, + { + "type": "attribute", + "named": false + }, + { + "type": "base", + "named": false + }, + { + "type": "based_integer", + "named": true + }, + { + "type": "based_real", + "named": true + }, + { + "type": "before", + "named": false + }, + { + "type": "begin", + "named": false + }, + { + "type": "bit", + "named": false + }, + { + "type": "bit_vector", + "named": false + }, + { + "type": "block", + "named": false + }, + { + "type": "body", + "named": false + }, + { + "type": "boolean", + "named": false + }, + { + "type": "buffer", + "named": false + }, + { + "type": "bus", + "named": false + }, + { + "type": "case", + "named": false + }, + { + "type": "clock", + "named": false + }, + { + "type": "comment", + "named": true + }, + { + "type": "component", + "named": false + }, + { + "type": "configuration", + "named": false + }, + { + "type": "const", + "named": false + }, + { + "type": "constant", + "named": false + }, + { + "type": "context", + "named": false + }, + { + "type": "countones", + "named": false + }, + { + "type": "cover", + "named": false + }, + { + "type": "default", + "named": false + }, + { + "type": "delayed", + "named": false + }, + { + "type": "descending", + "named": false + }, + { + "type": "disconnect", + "named": false + }, + { + "type": "downto", + "named": false + }, + { + "type": "driving", + "named": false + }, + { + "type": "driving_value", + "named": false + }, + { + "type": "element", + "named": false + }, + { + "type": "else", + "named": false + }, + { + "type": "elsif", + "named": false + }, + { + "type": "end", + "named": false + }, + { + "type": "ended", + "named": false + }, + { + "type": "entity", + "named": false + }, + { + "type": "event", + "named": false + }, + { + "type": "eventually", + "named": false + }, + { + "type": "exit", + "named": false + }, + { + "type": "extended_identifier", + "named": true + }, + { + "type": "extended_simple_name", + "named": true + }, + { + "type": "fairness", + "named": false + }, + { + "type": "fell", + "named": false + }, + { + "type": "file", + "named": false + }, + { + "type": "for", + "named": false + }, + { + "type": "forall", + "named": false + }, + { + "type": "force", + "named": false + }, + { + "type": "function", + "named": false + }, + { + "type": "generate", + "named": false + }, + { + "type": "generic", + "named": false + }, + { + "type": "group", + "named": false + }, + { + "type": "guarded", + "named": false + }, + { + "type": "hdltype", + "named": false + }, + { + "type": "high", + "named": false + }, + { + "type": "identifier", + "named": true + }, + { + "type": "if", + "named": false + }, + { + "type": "image", + "named": false + }, + { + "type": "impure", + "named": false + }, + { + "type": "in", + "named": false + }, + { + "type": "inertial", + "named": false + }, + { + "type": "inherit", + "named": false + }, + { + "type": "inout", + "named": false + }, + { + "type": "instance_name", + "named": false + }, + { + "type": "integer_decimal", + "named": true + }, + { + "type": "is", + "named": false + }, + { + "type": "isunknown", + "named": false + }, + { + "type": "label", + "named": false + }, + { + "type": "last_active", + "named": false + }, + { + "type": "last_event", + "named": false + }, + { + "type": "last_value", + "named": false + }, + { + "type": "left", + "named": false + }, + { + "type": "leftof", + "named": false + }, + { + "type": "length", + "named": false + }, + { + "type": "library", + "named": false + }, + { + "type": "linkage", + "named": false + }, + { + "type": "literal", + "named": false + }, + { + "type": "loop", + "named": false + }, + { + "type": "low", + "named": false + }, + { + "type": "map", + "named": false + }, + { + "type": "mod", + "named": false + }, + { + "type": "nand", + "named": false + }, + { + "type": "never", + "named": false + }, + { + "type": "new", + "named": false + }, + { + "type": "next", + "named": false + }, + { + "type": "next_a", + "named": false + }, + { + "type": "next_e", + "named": false + }, + { + "type": "next_event", + "named": false + }, + { + "type": "next_event_a", + "named": false + }, + { + "type": "next_event_e", + "named": false + }, + { + "type": "nondet", + "named": false + }, + { + "type": "nondet_vector", + "named": false + }, + { + "type": "nor", + "named": false + }, + { + "type": "not", + "named": false + }, + { + "type": "null", + "named": false + }, + { + "type": "numeric", + "named": false + }, + { + "type": "of", + "named": false + }, + { + "type": "on", + "named": false + }, + { + "type": "onehot", + "named": false + }, + { + "type": "onehot0", + "named": false + }, + { + "type": "open", + "named": false + }, + { + "type": "or", + "named": false + }, + { + "type": "others", + "named": false + }, + { + "type": "out", + "named": false + }, + { + "type": "package", + "named": false + }, + { + "type": "parameter", + "named": false + }, + { + "type": "path_name", + "named": false + }, + { + "type": "port", + "named": false + }, + { + "type": "pos", + "named": false + }, + { + "type": "postponed", + "named": false + }, + { + "type": "pred", + "named": false + }, + { + "type": "prev", + "named": false + }, + { + "type": "procedure", + "named": false + }, + { + "type": "process", + "named": false + }, + { + "type": "property", + "named": false + }, + { + "type": "protected", + "named": false + }, + { + "type": "pure", + "named": false + }, + { + "type": "quiet", + "named": false + }, + { + "type": "range", + "named": false + }, + { + "type": "real_decimal", + "named": true + }, + { + "type": "record", + "named": false + }, + { + "type": "register", + "named": false + }, + { + "type": "reject", + "named": false + }, + { + "type": "release", + "named": false + }, + { + "type": "rem", + "named": false + }, + { + "type": "report", + "named": false + }, + { + "type": "restrict", + "named": false + }, + { + "type": "restrict_guarantee", + "named": false + }, + { + "type": "return", + "named": false + }, + { + "type": "reverse_range", + "named": false + }, + { + "type": "right", + "named": false + }, + { + "type": "rightof", + "named": false + }, + { + "type": "rol", + "named": false + }, + { + "type": "ror", + "named": false + }, + { + "type": "rose", + "named": false + }, + { + "type": "same", + "named": true + }, + { + "type": "select", + "named": false + }, + { + "type": "semicolon", + "named": true + }, + { + "type": "sequence", + "named": false + }, + { + "type": "severity", + "named": false + }, + { + "type": "shared", + "named": false + }, + { + "type": "signal", + "named": false + }, + { + "type": "simple_name", + "named": false + }, + { + "type": "simple_name", + "named": true + }, + { + "type": "sla", + "named": false + }, + { + "type": "sll", + "named": false + }, + { + "type": "sra", + "named": false + }, + { + "type": "srl", + "named": false + }, + { + "type": "stable", + "named": false + }, + { + "type": "string", + "named": false + }, + { + "type": "strong", + "named": false + }, + { + "type": "subtype", + "named": false + }, + { + "type": "succ", + "named": false + }, + { + "type": "sync_abort", + "named": false + }, + { + "type": "then", + "named": false + }, + { + "type": "to", + "named": false + }, + { + "type": "tool_directive", + "named": true + }, + { + "type": "transaction", + "named": false + }, + { + "type": "transport", + "named": false + }, + { + "type": "type", + "named": false + }, + { + "type": "unaffected", + "named": false + }, + { + "type": "union", + "named": false + }, + { + "type": "units", + "named": false + }, + { + "type": "until", + "named": false + }, + { + "type": "use", + "named": false + }, + { + "type": "val", + "named": false + }, + { + "type": "value", + "named": false + }, + { + "type": "variable", + "named": false + }, + { + "type": "vmode", + "named": false + }, + { + "type": "vprop", + "named": false + }, + { + "type": "vunit", + "named": false + }, + { + "type": "wait", + "named": false + }, + { + "type": "when", + "named": false + }, + { + "type": "while", + "named": false + }, + { + "type": "with", + "named": false + }, + { + "type": "within", + "named": false + }, + { + "type": "xnor", + "named": false + }, + { + "type": "xor", + "named": false + }, + { + "type": "{", + "named": false + }, + { + "type": "|", + "named": false + }, + { + "type": "|->", + "named": false + }, + { + "type": "|=>", + "named": false + }, + { + "type": "}", + "named": false + } +] \ No newline at end of file diff --git a/vendored_parsers/tree-sitter-vhdl/src/parser.c b/vendored_parsers/tree-sitter-vhdl/src/parser.c new file mode 100644 index 000000000..3e2939bd3 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/src/parser.c @@ -0,0 +1,336168 @@ +#include + +#if defined(__GNUC__) || defined(__clang__) +#pragma GCC diagnostic push +#pragma GCC diagnostic ignored "-Wmissing-field-initializers" +#endif + +#define LANGUAGE_VERSION 14 +#define STATE_COUNT 7342 +#define LARGE_STATE_COUNT 985 +#define SYMBOL_COUNT 665 +#define ALIAS_COUNT 31 +#define TOKEN_COUNT 258 +#define EXTERNAL_TOKEN_COUNT 0 +#define FIELD_COUNT 37 +#define MAX_ALIAS_SEQUENCE_LENGTH 15 +#define PRODUCTION_ID_COUNT 345 + +enum { + sym_basic_identifier = 1, + aux_sym_entity_declaration_token1 = 2, + aux_sym_entity_declaration_token2 = 3, + aux_sym_entity_declaration_token3 = 4, + aux_sym_entity_declaration_token4 = 5, + anon_sym_SEMI = 6, + aux_sym_architecture_body_token1 = 7, + aux_sym_architecture_body_token2 = 8, + aux_sym_configuration_declaration_token1 = 9, + aux_sym_block_configuration_token1 = 10, + anon_sym_LPAREN = 11, + anon_sym_RPAREN = 12, + aux_sym__procedure_specification_token1 = 13, + aux_sym__procedure_specification_token2 = 14, + aux_sym__procedure_specification_token3 = 15, + aux_sym__function_specification_token1 = 16, + anon_sym_COMMA = 17, + aux_sym_return_token1 = 18, + aux_sym_procedure_parameter_clause_token1 = 19, + aux_sym_procedure_instantiation_declaration_token1 = 20, + anon_sym_LBRACK = 21, + anon_sym_RBRACK = 22, + aux_sym_package_declaration_token1 = 23, + aux_sym_package_body_token1 = 24, + aux_sym_range_constraint_token1 = 25, + aux_sym_ascending_range_token1 = 26, + aux_sym_descending_range_token1 = 27, + aux_sym_physical_type_definition_token1 = 28, + anon_sym_EQ = 29, + aux_sym_unbounded_array_definition_token1 = 30, + aux_sym_open_token1 = 31, + aux_sym_record_type_definition_token1 = 32, + anon_sym_COLON = 33, + aux_sym_access_type_definition_token1 = 34, + aux_sym_incomplete_type_declaration_token1 = 35, + aux_sym_file_type_definition_token1 = 36, + aux_sym_protected_type_declaration_token1 = 37, + aux_sym_subtype_declaration_token1 = 38, + aux_sym_constant_declaration_token1 = 39, + aux_sym_signal_declaration_token1 = 40, + aux_sym_signal_kind_token1 = 41, + aux_sym_signal_kind_token2 = 42, + aux_sym_variable_declaration_token1 = 43, + aux_sym_shared_variable_declaration_token1 = 44, + aux_sym__in_token1 = 45, + aux_sym__out_token1 = 46, + aux_sym__inout_token1 = 47, + aux_sym__buffer_token1 = 48, + aux_sym__linkage_token1 = 49, + anon_sym_LT_GT = 50, + aux_sym_generic_clause_token1 = 51, + aux_sym_port_clause_token1 = 52, + anon_sym_EQ_GT = 53, + aux_sym_generic_map_aspect_token1 = 54, + aux_sym_default_token1 = 55, + aux_sym_alias_declaration_token1 = 56, + aux_sym_attribute_declaration_token1 = 57, + aux_sym_component_declaration_token1 = 58, + aux_sym_group_template_declaration_token1 = 59, + aux_sym_entity_class_token1 = 60, + aux_sym_entity_class_token2 = 61, + aux_sym_entity_class_token3 = 62, + aux_sym_entity_class_token4 = 63, + aux_sym_all_token1 = 64, + aux_sym_binding_indication_token1 = 65, + aux_sym_verification_unit_binding_indication_token1 = 66, + aux_sym_disconnection_specification_token1 = 67, + anon_sym_DOT = 68, + anon_sym_SQUOTE = 69, + aux_sym__predefined_designator_token1 = 70, + aux_sym__predefined_designator_token2 = 71, + aux_sym__predefined_designator_token3 = 72, + aux_sym__predefined_designator_token4 = 73, + aux_sym__predefined_designator_token5 = 74, + aux_sym__predefined_designator_token6 = 75, + aux_sym__predefined_designator_token7 = 76, + aux_sym__predefined_designator_token8 = 77, + aux_sym__predefined_designator_token9 = 78, + aux_sym__predefined_designator_token10 = 79, + aux_sym__predefined_designator_token11 = 80, + aux_sym__predefined_designator_token12 = 81, + aux_sym__predefined_designator_token13 = 82, + aux_sym__predefined_designator_token14 = 83, + aux_sym__predefined_designator_token15 = 84, + aux_sym__predefined_designator_token16 = 85, + aux_sym__predefined_designator_token17 = 86, + aux_sym__predefined_designator_token18 = 87, + aux_sym__predefined_designator_token19 = 88, + aux_sym__predefined_designator_token20 = 89, + aux_sym__predefined_designator_token21 = 90, + aux_sym__predefined_designator_token22 = 91, + aux_sym__predefined_designator_token23 = 92, + aux_sym__predefined_designator_token24 = 93, + aux_sym__predefined_designator_token25 = 94, + aux_sym__predefined_designator_token26 = 95, + aux_sym__predefined_designator_token27 = 96, + aux_sym__predefined_designator_token28 = 97, + aux_sym__predefined_designator_token29 = 98, + aux_sym__predefined_designator_token30 = 99, + aux_sym__predefined_designator_with_expression_token1 = 100, + aux_sym__range_designator_token1 = 101, + anon_sym_LT_LT = 102, + anon_sym_GT_GT = 103, + anon_sym_AT = 104, + anon_sym_DOT2 = 105, + anon_sym_CARET_DOT = 106, + anon_sym_COLON_EQ = 107, + aux_sym_inertial_expression_token1 = 108, + anon_sym_QMARK_QMARK = 109, + aux_sym_reduction_token1 = 110, + aux_sym_reduction_token2 = 111, + aux_sym_reduction_token3 = 112, + aux_sym_reduction_token4 = 113, + aux_sym_reduction_token5 = 114, + aux_sym_reduction_token6 = 115, + aux_sym_factor_token1 = 116, + aux_sym_factor_token2 = 117, + anon_sym_PLUS = 118, + anon_sym_DASH = 119, + anon_sym_LT = 120, + anon_sym_GT = 121, + anon_sym_EQ2 = 122, + anon_sym_LT_EQ = 123, + anon_sym_GT_EQ = 124, + anon_sym_SLASH_EQ = 125, + anon_sym_QMARK_LT = 126, + anon_sym_QMARK_GT = 127, + anon_sym_QMARK_EQ = 128, + anon_sym_QMARK_LT_EQ = 129, + anon_sym_QMARK_GT_EQ = 130, + anon_sym_QMARK_SLASH_EQ = 131, + aux_sym_shift_expression_token1 = 132, + aux_sym_shift_expression_token2 = 133, + aux_sym_shift_expression_token3 = 134, + aux_sym_shift_expression_token4 = 135, + aux_sym_shift_expression_token5 = 136, + aux_sym_shift_expression_token6 = 137, + anon_sym_AMP = 138, + anon_sym_STAR = 139, + anon_sym_SLASH = 140, + aux_sym_term_token1 = 141, + aux_sym_term_token2 = 142, + anon_sym_STAR_STAR = 143, + aux_sym_null_token1 = 144, + anon_sym_PIPE = 145, + aux_sym_others_token1 = 146, + aux_sym_wait_statement_token1 = 147, + aux_sym__sensitivity_clause_token1 = 148, + aux_sym__condition_clause_token1 = 149, + aux_sym_assertion_statement_token1 = 150, + aux_sym_assertion_statement_token2 = 151, + aux_sym__report_token1 = 152, + aux_sym__severity_token1 = 153, + anon_sym_LT_EQ2 = 154, + aux_sym_simple_waveform_assignment_token1 = 155, + aux_sym_simple_force_assignment_token1 = 156, + aux_sym_simple_release_assignment_token1 = 157, + aux_sym_transport_token1 = 158, + aux_sym__reject_token1 = 159, + aux_sym_unaffected_token1 = 160, + aux_sym__after_token1 = 161, + aux_sym__when_clause_token1 = 162, + aux_sym_alternative_conditional_waveforms_token1 = 163, + aux_sym_selected_waveform_assignment_token1 = 164, + aux_sym_selected_waveform_assignment_token2 = 165, + anon_sym_QMARK = 166, + aux_sym_if_statement_token1 = 167, + aux_sym_if_token1 = 168, + aux_sym_elsif_token1 = 169, + aux_sym_case_statement_token1 = 170, + aux_sym_loop_statement_token1 = 171, + aux_sym_while_loop_token1 = 172, + aux_sym_next_statement_token1 = 173, + aux_sym_exit_statement_token1 = 174, + aux_sym_block_statement_token1 = 175, + aux_sym_process_statement_token1 = 176, + aux_sym_for_generate_statement_token1 = 177, + aux_sym_library_clause_token1 = 178, + aux_sym_context_declaration_token1 = 179, + sym_extended_identifier = 180, + sym_integer_decimal = 181, + sym_real_decimal = 182, + sym_based_integer = 183, + sym_based_real = 184, + aux_sym_character_literal_token1 = 185, + aux_sym_character_literal_token2 = 186, + aux_sym_string_literal_token1 = 187, + aux_sym_string_literal_token2 = 188, + anon_sym_DQUOTE = 189, + aux_sym_bit_string_literal_token1 = 190, + aux_sym_bit_string_literal_token2 = 191, + aux_sym_bit_string_literal_token3 = 192, + aux_sym_bit_string_literal_token4 = 193, + sym_comment = 194, + sym_tool_directive = 195, + anon_sym_DASH_GT = 196, + anon_sym_LT_DASH_GT = 197, + aux_sym_PSL_Built_In_Function_Call_token1 = 198, + aux_sym_PSL_Built_In_Function_Call_token2 = 199, + aux_sym_PSL_Built_In_Function_Call_token3 = 200, + aux_sym_PSL_Built_In_Function_Call_token4 = 201, + aux_sym_PSL_Built_In_Function_Call_token5 = 202, + aux_sym_PSL_Built_In_Function_Call_token6 = 203, + aux_sym_PSL_Built_In_Function_Call_token7 = 204, + aux_sym_PSL_Built_In_Function_Call_token8 = 205, + aux_sym_PSL_Built_In_Function_Call_token9 = 206, + aux_sym_PSL_Built_In_Function_Call_token10 = 207, + aux_sym_PSL_Union_Expression_token1 = 208, + aux_sym_PSL_Clock_Declaration_token1 = 209, + anon_sym_COLON2 = 210, + anon_sym_SEMI2 = 211, + anon_sym_PIPE2 = 212, + anon_sym_AMP_AMP = 213, + aux_sym_PSL_Compound_SERE_Within_token1 = 214, + anon_sym_LBRACE = 215, + anon_sym_RBRACE = 216, + anon_sym_PLUS2 = 217, + anon_sym_STAR2 = 218, + anon_sym_EQ3 = 219, + anon_sym_DASH_GT2 = 220, + anon_sym_BANG = 221, + anon_sym_AT2 = 222, + aux_sym_PSL_Invariant_FL_Property_token1 = 223, + aux_sym_PSL_Invariant_FL_Property_token2 = 224, + aux_sym_PSL_Ocurrence_FL_Property_token1 = 225, + anon_sym_BANG2 = 226, + aux_sym_PSL_Extended_Ocurrence_FL_Property_token1 = 227, + aux_sym_PSL_Extended_Ocurrence_FL_Property_token2 = 228, + aux_sym_PSL_Extended_Ocurrence_FL_Property_token3 = 229, + aux_sym_PSL_Extended_Ocurrence_FL_Property_token4 = 230, + aux_sym_PSL_Extended_Ocurrence_FL_Property_token5 = 231, + aux_sym_PSL_Termination_FL_Property_token1 = 232, + aux_sym_PSL_Termination_FL_Property_token2 = 233, + aux_sym_PSL_Termination_FL_Property_token3 = 234, + aux_sym_PSL_Bounding_FL_Property_token1 = 235, + anon_sym__ = 236, + anon_sym_PIPE_EQ_GT = 237, + anon_sym_PIPE_DASH_GT = 238, + aux_sym_PSL_Property_Replicator_token1 = 239, + aux_sym_boolean_token1 = 240, + aux_sym_PSL_Constant_Parameter_Specification_token1 = 241, + aux_sym_PSL_HDL_Type_token1 = 242, + aux_sym_PSL_Type_Class_token1 = 243, + aux_sym_PSL_Type_Class_token2 = 244, + aux_sym_PSL_Type_Class_token3 = 245, + aux_sym_PSL_Type_Class_token4 = 246, + aux_sym_PSL_Assume_Directive_token1 = 247, + aux_sym_PSL_Assume_Guarantee_Directive_token1 = 248, + aux_sym_PSL_Restrict_Directive_token1 = 249, + aux_sym_PSL_Restrict_Guarantee_Directive_token1 = 250, + aux_sym_PSL_Cover_Directive_token1 = 251, + aux_sym_PSL_Fairness_Directive_token1 = 252, + aux_sym_PSL_Strong_Fairness_Directive_token1 = 253, + aux_sym_PSL_VProp_token1 = 254, + aux_sym_PSL_VMode_token1 = 255, + anon_sym_SLASH2 = 256, + aux_sym_PSL_Inherit_Spec_token1 = 257, + sym_design_file = 258, + sym_entity_declaration = 259, + sym_architecture_body = 260, + sym_configuration_declaration = 261, + sym_block_configuration = 262, + sym_generate_statement_element = 263, + sym_component_configuration = 264, + sym_procedure_declaration = 265, + sym_function_declaration = 266, + sym__procedure_specification = 267, + sym__function_specification = 268, + sym_return = 269, + sym__end_designator = 270, + sym_procedure_parameter_clause = 271, + sym_function_parameter_clause = 272, + sym_procedure_body = 273, + sym_function_body = 274, + sym_procedure_instantiation_declaration = 275, + sym_function_instantiation_declaration = 276, + sym_signature = 277, + sym_package_declaration = 278, + sym_package_body = 279, + sym_package_instantiation_declaration = 280, + sym_range_constraint = 281, + sym_range_attribute_name = 282, + sym_ascending_range = 283, + sym_descending_range = 284, + sym_enumeration_type_definition = 285, + sym__enumeration_literal = 286, + sym_physical_type_definition = 287, + sym_primary_unit_declaration = 288, + sym_secondary_unit_declaration = 289, + sym__physical_literal = 290, + sym_physical_literal = 291, + sym__composite_type_definition = 292, + sym__array_type_definition = 293, + sym_unbounded_array_definition = 294, + sym_constrained_array_definition = 295, + sym_index_subtype_definition = 296, + sym_array_constraint = 297, + sym__array_element_constraint = 298, + sym_index_constraint = 299, + sym_open = 300, + sym_record_type_definition = 301, + sym_element_declaration = 302, + sym_record_constraint = 303, + sym_record_element_constraint = 304, + sym_identifier_list = 305, + sym_access_type_definition = 306, + sym_incomplete_type_declaration = 307, + sym_file_type_definition = 308, + sym_protected_type_declaration = 309, + sym_protected_type_body = 310, + sym_declarative_part = 311, + sym__declaration = 312, + sym__type_declaration = 313, + sym_full_type_declaration = 314, + sym__type_definition = 315, + sym_subtype_declaration = 316, + sym_subtype_indication = 317, + sym_resolution_function = 318, + sym_parenthesized_resolution = 319, + sym_record_resolution = 320, + sym_record_element_resolution = 321, + sym_type_mark = 322, + sym_constant_declaration = 323, + sym_signal_declaration = 324, + sym_signal_kind = 325, + sym_variable_declaration = 326, + sym_shared_variable_declaration = 327, + sym_file_declaration = 328, + sym_file_open_information = 329, + sym__file_logical_name = 330, + sym_constant_interface_declaration = 331, + sym_signal_interface_declaration = 332, + sym_variable_interface_declaration = 333, + sym_file_interface_declaration = 334, + sym__in = 335, + sym__out = 336, + sym__inout = 337, + sym__buffer = 338, + sym__linkage = 339, + sym__constant_mode = 340, + sym__variable_mode = 341, + sym__signal_mode = 342, + sym_type_interface_declaration = 343, + sym_procedure_interface_declaration = 344, + sym_function_interface_declaration = 345, + sym_interface_subprogram_default = 346, + sym_package_interface_declaration = 347, + sym_generic_clause = 348, + sym__semicolon = 349, + sym_port_clause = 350, + sym_association_list = 351, + sym__association_element = 352, + sym_positional_association_element = 353, + sym_named_association_element = 354, + sym_generic_map_aspect = 355, + sym_default = 356, + sym__any = 357, + sym_port_map_aspect = 358, + sym__header = 359, + sym_alias_declaration = 360, + sym__alias_designator = 361, + sym__operator_symbol = 362, + sym_attribute_declaration = 363, + sym_component_declaration = 364, + sym_group_template_declaration = 365, + sym_entity_class_entry_list = 366, + sym_entity_class_entry = 367, + sym_group_declaration = 368, + sym_group_constituent_list = 369, + sym_attribute_specification = 370, + sym_entity_name_list = 371, + sym_entity_specification = 372, + sym_entity_class = 373, + sym_entity_designator = 374, + sym__entity_tag = 375, + sym_configuration_specification = 376, + sym__component_specification = 377, + sym_instantiation_list = 378, + sym_all = 379, + sym_binding_indication = 380, + sym__entity_aspect = 381, + sym_verification_unit_binding_indication = 382, + sym_verification_unit_list = 383, + sym_disconnection_specification = 384, + sym_guarded_signal_specification = 385, + sym_signal_list = 386, + sym__simple_name = 387, + sym__end_simple_name = 388, + sym_selected_name = 389, + sym_ambiguous_name = 390, + sym_expression_list = 391, + sym_slice_name = 392, + sym_attribute_name = 393, + sym__attribute_designator = 394, + sym__predefined_attribute_designator = 395, + sym__predefined_attribute_designator_with_expression = 396, + sym__predefined_designator = 397, + sym__predefined_designator_with_expression = 398, + sym__range_designator = 399, + sym_external_constant_name = 400, + sym_external_signal_name = 401, + sym_external_variable_name = 402, + sym_package_pathname = 403, + sym_absolute_pathname = 404, + sym_relative_pathname = 405, + sym__partial_pathname = 406, + sym_pathname_element = 407, + sym__expr = 408, + sym__unary_expression = 409, + sym__binary_expression = 410, + sym__primary = 411, + sym_default_expression = 412, + sym_inertial_expression = 413, + sym_parenthesized_expression = 414, + sym_condition = 415, + sym_reduction = 416, + sym_factor = 417, + sym_sign = 418, + sym_logical_expression = 419, + sym_relation = 420, + sym_shift_expression = 421, + sym_simple_expression = 422, + sym_concatenation = 423, + sym_term = 424, + sym_exponentiation = 425, + sym_null = 426, + sym_aggregate = 427, + sym__element_association_list = 428, + sym_positional_element_association = 429, + sym_named_element_association = 430, + sym_choices = 431, + sym_others = 432, + sym_function_call = 433, + sym_qualified_expression = 434, + sym_allocator = 435, + sym_sequence_of_statements = 436, + sym__sequential_statement = 437, + sym_wait_statement = 438, + sym_sensitivity_list = 439, + sym_assertion_statement = 440, + sym_report_statement = 441, + sym__report = 442, + sym__severity = 443, + sym_simple_waveform_assignment = 444, + sym_simple_force_assignment = 445, + sym_simple_release_assignment = 446, + sym_force_mode = 447, + sym_delay_mechanism = 448, + sym_transport = 449, + sym_inertial = 450, + sym__reject = 451, + sym__target = 452, + sym_waveforms = 453, + sym_unaffected = 454, + sym_waveform_element = 455, + sym__after = 456, + sym_conditional_waveform_assignment = 457, + sym__when_clause = 458, + sym_conditional_waveforms = 459, + sym_alternative_conditional_waveforms = 460, + sym_conditional_force_assignment = 461, + sym_conditional_expressions = 462, + sym_alternative_conditional_expressions = 463, + sym_selected_waveform_assignment = 464, + sym_selected_force_assignment = 465, + sym_selected_waveforms = 466, + sym_alternative_selected_waveforms = 467, + sym_selected_expressions = 468, + sym_alternative_selected_expressions = 469, + sym_simple_variable_assignment = 470, + sym_conditional_variable_assignment = 471, + sym_selected_variable_assignment = 472, + sym_procedure_call_statement = 473, + sym_if_statement = 474, + sym_if = 475, + sym_elsif = 476, + sym_else = 477, + sym_case_statement = 478, + sym_case_statement_alternative = 479, + sym_loop_statement = 480, + sym_while_loop = 481, + sym_for_loop = 482, + sym_parameter_specification = 483, + sym_next_statement = 484, + sym__loop_label = 485, + sym_exit_statement = 486, + sym_return_statement = 487, + sym_null_statement = 488, + sym_concurrent_statement_part = 489, + sym__concurrent_statement = 490, + sym_block_statement = 491, + sym__guard = 492, + sym_process_statement = 493, + sym_component_instantiation_statement = 494, + sym_entity_instantiation = 495, + sym_configuration_instantiation = 496, + sym_component_instantiation = 497, + sym_for_generate_statement = 498, + sym_if_generate_statement = 499, + sym_if_generate = 500, + sym_elsif_generate = 501, + sym_else_generate = 502, + sym_case_generate_statement = 503, + sym_case_generate_alternative = 504, + sym_generate_statement_body = 505, + sym_label = 506, + sym_use_clause = 507, + sym_design_unit = 508, + sym__primary_unit = 509, + sym__secondary_unit = 510, + sym_library_clause = 511, + sym_logical_name_list = 512, + sym_context_declaration = 513, + sym_context_clause = 514, + sym__context_item = 515, + sym_context_reference = 516, + sym_context_list = 517, + sym_character_literal = 518, + sym_string_literal = 519, + sym_bit_string_literal = 520, + sym__PSL_Number = 521, + sym_PSL_Expression = 522, + sym_PSL_Built_In_Function_Call = 523, + sym_PSL_Union_Expression = 524, + sym__PSL_Declaration = 525, + sym_PSL_Clock_Declaration = 526, + sym__PSL_SERE = 527, + sym_PSL_Simple_SERE = 528, + sym__PSL_Fusion_SERE = 529, + sym__PSL_Concat_SERE = 530, + sym__PSL_Compound_SERE = 531, + sym_PSL_Compound_SERE_And = 532, + sym_PSL_Compound_SERE_Within = 533, + sym_PSL_Parameterized_SERE = 534, + sym_PSL_Parameters_Definition = 535, + sym_PSL_Parameter_Specification = 536, + sym__PSL_Sequence = 537, + sym_PSL_Repeated_SERE = 538, + sym_PSL_Count = 539, + sym__PSL_Range = 540, + sym_PSL_Braced_SERE = 541, + sym_PSL_Clocked_SERE = 542, + sym_PSL_Parenthesized_FL_Property = 543, + sym_PSL_Sequential_FL_Property = 544, + sym_PSL_Clocked_FL_Property = 545, + sym_PSL_Invariant_FL_Property = 546, + sym_PSL_Ocurrence_FL_Property = 547, + sym_PSL_Implication_FL_Property = 548, + sym_PSL_Logical_FL_Property = 549, + sym_PSL_Factor_FL_Property = 550, + sym_PSL_Extended_Ocurrence_FL_Property = 551, + sym__PSL_Extended_Ocurrence_argument = 552, + sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification = 553, + sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification = 554, + sym_PSL_Termination_FL_Property = 555, + sym_PSL_Bounding_FL_Property = 556, + sym_PSL_Suffix_Implication_FL_Property = 557, + sym_PSL_Parameterized_Property = 558, + sym_PSL_Property_Replicator = 559, + sym_PSL_Index_Range = 560, + sym_PSL_Value_Set = 561, + sym_boolean = 562, + sym__PSL_Value_Range = 563, + sym_PSL_Property_Declaration = 564, + sym_PSL_Sequence_Declaration = 565, + sym_PSL_Formal_Parameter_List = 566, + sym_PSL_Formal_Parameter = 567, + sym__PSL_Parameter_Specification = 568, + sym_PSL_Constant_Parameter_Specification = 569, + sym_PSL_Temporal_Parameter_Specification = 570, + sym_PSL_HDL_Type = 571, + sym_PSL_Type_Class = 572, + sym_PSL_Instance = 573, + sym__PSL_Ambiguous_Instance = 574, + sym__PSL_Sequence_Instance = 575, + sym__PSL_Property_Instance = 576, + sym_PSL_Actual_Parameter_List = 577, + sym_PSL_Actual_Parameter = 578, + sym__PSL_Directive = 579, + sym_PSL_Assert_Directive = 580, + sym_PSL_Assume_Directive = 581, + sym_PSL_Assume_Guarantee_Directive = 582, + sym_PSL_Restrict_Directive = 583, + sym_PSL_Restrict_Guarantee_Directive = 584, + sym_PSL_Cover_Directive = 585, + sym_PSL_Fairness_Directive = 586, + sym_PSL_Strong_Fairness_Directive = 587, + sym__PSL_Verification_Unit = 588, + sym_PSL_VUnit = 589, + sym_PSL_VProp = 590, + sym_PSL_VMode = 591, + sym_PSL_Verification_Unit_Body = 592, + sym_PSL_Hierarchical_HDL_Name = 593, + sym_PSL_Inherit_Spec = 594, + sym__PSL_VUnit_Item = 595, + aux_sym_design_file_repeat1 = 596, + aux_sym_configuration_declaration_repeat1 = 597, + aux_sym_block_configuration_repeat1 = 598, + aux_sym_block_configuration_repeat2 = 599, + aux_sym_signature_repeat1 = 600, + aux_sym_enumeration_type_definition_repeat1 = 601, + aux_sym_physical_type_definition_repeat1 = 602, + aux_sym_unbounded_array_definition_repeat1 = 603, + aux_sym_index_constraint_repeat1 = 604, + aux_sym_record_type_definition_repeat1 = 605, + aux_sym_record_constraint_repeat1 = 606, + aux_sym_identifier_list_repeat1 = 607, + aux_sym_declarative_part_repeat1 = 608, + aux_sym_record_resolution_repeat1 = 609, + aux_sym__generic_interface_list_repeat1 = 610, + aux_sym__port_interface_list_repeat1 = 611, + aux_sym__procedure_parameter_list_repeat1 = 612, + aux_sym__function_parameter_list_repeat1 = 613, + aux_sym_association_list_repeat1 = 614, + aux_sym_entity_class_entry_list_repeat1 = 615, + aux_sym_group_constituent_list_repeat1 = 616, + aux_sym_entity_name_list_repeat1 = 617, + aux_sym_instantiation_list_repeat1 = 618, + aux_sym_verification_unit_list_repeat1 = 619, + aux_sym_signal_list_repeat1 = 620, + aux_sym_expression_list_repeat1 = 621, + aux_sym_package_pathname_repeat1 = 622, + aux_sym_relative_pathname_repeat1 = 623, + aux_sym__partial_pathname_repeat1 = 624, + aux_sym_logical_expression_repeat1 = 625, + aux_sym_logical_expression_repeat2 = 626, + aux_sym_logical_expression_repeat3 = 627, + aux_sym_logical_expression_repeat4 = 628, + aux_sym_simple_expression_repeat1 = 629, + aux_sym_concatenation_repeat1 = 630, + aux_sym_term_repeat1 = 631, + aux_sym__element_association_list_repeat1 = 632, + aux_sym_choices_repeat1 = 633, + aux_sym_sequence_of_statements_repeat1 = 634, + aux_sym_waveforms_repeat1 = 635, + aux_sym_conditional_waveforms_repeat1 = 636, + aux_sym_conditional_expressions_repeat1 = 637, + aux_sym_selected_waveforms_repeat1 = 638, + aux_sym_selected_expressions_repeat1 = 639, + aux_sym_if_statement_repeat1 = 640, + aux_sym_case_statement_repeat1 = 641, + aux_sym_concurrent_statement_part_repeat1 = 642, + aux_sym_if_generate_statement_repeat1 = 643, + aux_sym_case_generate_statement_repeat1 = 644, + aux_sym_use_clause_repeat1 = 645, + aux_sym_logical_name_list_repeat1 = 646, + aux_sym_context_clause_repeat1 = 647, + aux_sym_PSL_Built_In_Function_Call_repeat1 = 648, + aux_sym__PSL_Fusion_SERE_repeat1 = 649, + aux_sym__PSL_Concat_SERE_repeat1 = 650, + aux_sym_PSL_Compound_SERE_And_repeat1 = 651, + aux_sym_PSL_Compound_SERE_Within_repeat1 = 652, + aux_sym_PSL_Parameters_Definition_repeat1 = 653, + aux_sym_PSL_Clocked_FL_Property_repeat1 = 654, + aux_sym_PSL_Implication_FL_Property_repeat1 = 655, + aux_sym_PSL_Logical_FL_Property_repeat1 = 656, + aux_sym_PSL_Value_Set_repeat1 = 657, + aux_sym_PSL_Formal_Parameter_List_repeat1 = 658, + aux_sym_PSL_Formal_Parameter_repeat1 = 659, + aux_sym_PSL_Actual_Parameter_List_repeat1 = 660, + aux_sym_PSL_Verification_Unit_Body_repeat1 = 661, + aux_sym_PSL_Verification_Unit_Body_repeat2 = 662, + aux_sym_PSL_Hierarchical_HDL_Name_repeat1 = 663, + aux_sym_PSL_Inherit_Spec_repeat1 = 664, + alias_sym_PSL_Any_Type = 665, + alias_sym_PSL_Boolean = 666, + alias_sym_PSL_Identifier = 667, + alias_sym_PSL_Number = 668, + alias_sym_PSL_Property_Instance = 669, + alias_sym_PSL_Sequence_Instance = 670, + alias_sym_any = 671, + alias_sym_array_element_constraint = 672, + alias_sym_block_header = 673, + alias_sym_block_specification = 674, + alias_sym_component_header = 675, + alias_sym_component_map_aspect = 676, + alias_sym_conditional_concurrent_signal_assignment = 677, + alias_sym_conditional_expression = 678, + alias_sym_entity_header = 679, + alias_sym_expression = 680, + alias_sym_extended_simple_name = 681, + alias_sym_file_open_kind = 682, + alias_sym_identifier = 683, + alias_sym_numeric_type_definition = 684, + alias_sym_operator_symbol = 685, + alias_sym_package_header = 686, + alias_sym_package_map_aspect = 687, + alias_sym_selected_concurrent_signal_assignment = 688, + alias_sym_semicolon = 689, + alias_sym_severity_expression = 690, + alias_sym_simple_concurrent_signal_assignment = 691, + alias_sym_string_expression = 692, + alias_sym_subprogram_header = 693, + alias_sym_subprogram_map_aspect = 694, + alias_sym_time_expression = 695, +}; + +static const char * const ts_symbol_names[] = { + [ts_builtin_sym_end] = "end", + [sym_basic_identifier] = "simple_name", + [aux_sym_entity_declaration_token1] = "entity", + [aux_sym_entity_declaration_token2] = "is", + [aux_sym_entity_declaration_token3] = "begin", + [aux_sym_entity_declaration_token4] = "end", + [anon_sym_SEMI] = ";", + [aux_sym_architecture_body_token1] = "architecture", + [aux_sym_architecture_body_token2] = "of", + [aux_sym_configuration_declaration_token1] = "configuration", + [aux_sym_block_configuration_token1] = "for", + [anon_sym_LPAREN] = "(", + [anon_sym_RPAREN] = ")", + [aux_sym__procedure_specification_token1] = "pure", + [aux_sym__procedure_specification_token2] = "impure", + [aux_sym__procedure_specification_token3] = "procedure", + [aux_sym__function_specification_token1] = "function", + [anon_sym_COMMA] = ",", + [aux_sym_return_token1] = "return", + [aux_sym_procedure_parameter_clause_token1] = "parameter", + [aux_sym_procedure_instantiation_declaration_token1] = "new", + [anon_sym_LBRACK] = "[", + [anon_sym_RBRACK] = "]", + [aux_sym_package_declaration_token1] = "package", + [aux_sym_package_body_token1] = "body", + [aux_sym_range_constraint_token1] = "range", + [aux_sym_ascending_range_token1] = "to", + [aux_sym_descending_range_token1] = "downto", + [aux_sym_physical_type_definition_token1] = "units", + [anon_sym_EQ] = "=", + [aux_sym_unbounded_array_definition_token1] = "array", + [aux_sym_open_token1] = "open", + [aux_sym_record_type_definition_token1] = "record", + [anon_sym_COLON] = ":", + [aux_sym_access_type_definition_token1] = "access", + [aux_sym_incomplete_type_declaration_token1] = "type", + [aux_sym_file_type_definition_token1] = "file", + [aux_sym_protected_type_declaration_token1] = "protected", + [aux_sym_subtype_declaration_token1] = "subtype", + [aux_sym_constant_declaration_token1] = "constant", + [aux_sym_signal_declaration_token1] = "signal", + [aux_sym_signal_kind_token1] = "register", + [aux_sym_signal_kind_token2] = "bus", + [aux_sym_variable_declaration_token1] = "variable", + [aux_sym_shared_variable_declaration_token1] = "shared", + [aux_sym__in_token1] = "in", + [aux_sym__out_token1] = "out", + [aux_sym__inout_token1] = "inout", + [aux_sym__buffer_token1] = "buffer", + [aux_sym__linkage_token1] = "linkage", + [anon_sym_LT_GT] = "same", + [aux_sym_generic_clause_token1] = "generic", + [aux_sym_port_clause_token1] = "port", + [anon_sym_EQ_GT] = "=>", + [aux_sym_generic_map_aspect_token1] = "map", + [aux_sym_default_token1] = "default", + [aux_sym_alias_declaration_token1] = "alias", + [aux_sym_attribute_declaration_token1] = "attribute", + [aux_sym_component_declaration_token1] = "component", + [aux_sym_group_template_declaration_token1] = "group", + [aux_sym_entity_class_token1] = "label", + [aux_sym_entity_class_token2] = "literal", + [aux_sym_entity_class_token3] = "property", + [aux_sym_entity_class_token4] = "sequence", + [aux_sym_all_token1] = "all", + [aux_sym_binding_indication_token1] = "use", + [aux_sym_verification_unit_binding_indication_token1] = "vunit", + [aux_sym_disconnection_specification_token1] = "disconnect", + [anon_sym_DOT] = ".", + [anon_sym_SQUOTE] = "'", + [aux_sym__predefined_designator_token1] = "base", + [aux_sym__predefined_designator_token2] = "left", + [aux_sym__predefined_designator_token3] = "right", + [aux_sym__predefined_designator_token4] = "high", + [aux_sym__predefined_designator_token5] = "low", + [aux_sym__predefined_designator_token6] = "image", + [aux_sym__predefined_designator_token7] = "pos", + [aux_sym__predefined_designator_token8] = "val", + [aux_sym__predefined_designator_token9] = "succ", + [aux_sym__predefined_designator_token10] = "pred", + [aux_sym__predefined_designator_token11] = "leftof", + [aux_sym__predefined_designator_token12] = "rightof", + [aux_sym__predefined_designator_token13] = "length", + [aux_sym__predefined_designator_token14] = "ascending", + [aux_sym__predefined_designator_token15] = "descending", + [aux_sym__predefined_designator_token16] = "element", + [aux_sym__predefined_designator_token17] = "delayed", + [aux_sym__predefined_designator_token18] = "stable", + [aux_sym__predefined_designator_token19] = "quiet", + [aux_sym__predefined_designator_token20] = "transaction", + [aux_sym__predefined_designator_token21] = "event", + [aux_sym__predefined_designator_token22] = "active", + [aux_sym__predefined_designator_token23] = "last_event", + [aux_sym__predefined_designator_token24] = "last_active", + [aux_sym__predefined_designator_token25] = "last_value", + [aux_sym__predefined_designator_token26] = "driving", + [aux_sym__predefined_designator_token27] = "driving_value", + [aux_sym__predefined_designator_token28] = "simple_name", + [aux_sym__predefined_designator_token29] = "instance_name", + [aux_sym__predefined_designator_token30] = "path_name", + [aux_sym__predefined_designator_with_expression_token1] = "value", + [aux_sym__range_designator_token1] = "reverse_range", + [anon_sym_LT_LT] = "<<", + [anon_sym_GT_GT] = ">>", + [anon_sym_AT] = "@", + [anon_sym_DOT2] = ".", + [anon_sym_CARET_DOT] = "^.", + [anon_sym_COLON_EQ] = ":=", + [aux_sym_inertial_expression_token1] = "inertial", + [anon_sym_QMARK_QMARK] = "\?\?", + [aux_sym_reduction_token1] = "and", + [aux_sym_reduction_token2] = "or", + [aux_sym_reduction_token3] = "xor", + [aux_sym_reduction_token4] = "nand", + [aux_sym_reduction_token5] = "nor", + [aux_sym_reduction_token6] = "xnor", + [aux_sym_factor_token1] = "not", + [aux_sym_factor_token2] = "abs", + [anon_sym_PLUS] = "+", + [anon_sym_DASH] = "-", + [anon_sym_LT] = "<", + [anon_sym_GT] = ">", + [anon_sym_EQ2] = "=", + [anon_sym_LT_EQ] = "<=", + [anon_sym_GT_EQ] = ">=", + [anon_sym_SLASH_EQ] = "/=", + [anon_sym_QMARK_LT] = "\?<", + [anon_sym_QMARK_GT] = "\?>", + [anon_sym_QMARK_EQ] = "\?=", + [anon_sym_QMARK_LT_EQ] = "\?<=", + [anon_sym_QMARK_GT_EQ] = "\?>=", + [anon_sym_QMARK_SLASH_EQ] = "\?/=", + [aux_sym_shift_expression_token1] = "sll", + [aux_sym_shift_expression_token2] = "srl", + [aux_sym_shift_expression_token3] = "sla", + [aux_sym_shift_expression_token4] = "sra", + [aux_sym_shift_expression_token5] = "rol", + [aux_sym_shift_expression_token6] = "ror", + [anon_sym_AMP] = "&", + [anon_sym_STAR] = "*", + [anon_sym_SLASH] = "/", + [aux_sym_term_token1] = "rem", + [aux_sym_term_token2] = "mod", + [anon_sym_STAR_STAR] = "**", + [aux_sym_null_token1] = "null", + [anon_sym_PIPE] = "|", + [aux_sym_others_token1] = "others", + [aux_sym_wait_statement_token1] = "wait", + [aux_sym__sensitivity_clause_token1] = "on", + [aux_sym__condition_clause_token1] = "until", + [aux_sym_assertion_statement_token1] = "postponed", + [aux_sym_assertion_statement_token2] = "assert", + [aux_sym__report_token1] = "report", + [aux_sym__severity_token1] = "severity", + [anon_sym_LT_EQ2] = "<=", + [aux_sym_simple_waveform_assignment_token1] = "guarded", + [aux_sym_simple_force_assignment_token1] = "force", + [aux_sym_simple_release_assignment_token1] = "release", + [aux_sym_transport_token1] = "transport", + [aux_sym__reject_token1] = "reject", + [aux_sym_unaffected_token1] = "unaffected", + [aux_sym__after_token1] = "after", + [aux_sym__when_clause_token1] = "when", + [aux_sym_alternative_conditional_waveforms_token1] = "else", + [aux_sym_selected_waveform_assignment_token1] = "with", + [aux_sym_selected_waveform_assignment_token2] = "select", + [anon_sym_QMARK] = "\?", + [aux_sym_if_statement_token1] = "if", + [aux_sym_if_token1] = "then", + [aux_sym_elsif_token1] = "elsif", + [aux_sym_case_statement_token1] = "case", + [aux_sym_loop_statement_token1] = "loop", + [aux_sym_while_loop_token1] = "while", + [aux_sym_next_statement_token1] = "next", + [aux_sym_exit_statement_token1] = "exit", + [aux_sym_block_statement_token1] = "block", + [aux_sym_process_statement_token1] = "process", + [aux_sym_for_generate_statement_token1] = "generate", + [aux_sym_library_clause_token1] = "library", + [aux_sym_context_declaration_token1] = "context", + [sym_extended_identifier] = "extended_identifier", + [sym_integer_decimal] = "integer_decimal", + [sym_real_decimal] = "real_decimal", + [sym_based_integer] = "based_integer", + [sym_based_real] = "based_real", + [aux_sym_character_literal_token1] = "character_literal_token1", + [aux_sym_character_literal_token2] = "character_literal_token2", + [aux_sym_string_literal_token1] = "string_literal_token1", + [aux_sym_string_literal_token2] = "string_literal_token2", + [anon_sym_DQUOTE] = "\"", + [aux_sym_bit_string_literal_token1] = "bit_string_literal_token1", + [aux_sym_bit_string_literal_token2] = "bit_string_literal_token2", + [aux_sym_bit_string_literal_token3] = "bit_string_literal_token3", + [aux_sym_bit_string_literal_token4] = "bit_string_literal_token4", + [sym_comment] = "comment", + [sym_tool_directive] = "tool_directive", + [anon_sym_DASH_GT] = "->", + [anon_sym_LT_DASH_GT] = "<->", + [aux_sym_PSL_Built_In_Function_Call_token1] = "prev", + [aux_sym_PSL_Built_In_Function_Call_token2] = "rose", + [aux_sym_PSL_Built_In_Function_Call_token3] = "fell", + [aux_sym_PSL_Built_In_Function_Call_token4] = "isunknown", + [aux_sym_PSL_Built_In_Function_Call_token5] = "countones", + [aux_sym_PSL_Built_In_Function_Call_token6] = "onehot", + [aux_sym_PSL_Built_In_Function_Call_token7] = "onehot0", + [aux_sym_PSL_Built_In_Function_Call_token8] = "nondet", + [aux_sym_PSL_Built_In_Function_Call_token9] = "nondet_vector", + [aux_sym_PSL_Built_In_Function_Call_token10] = "ended", + [aux_sym_PSL_Union_Expression_token1] = "union", + [aux_sym_PSL_Clock_Declaration_token1] = "clock", + [anon_sym_COLON2] = ":", + [anon_sym_SEMI2] = ";", + [anon_sym_PIPE2] = "|", + [anon_sym_AMP_AMP] = "&&", + [aux_sym_PSL_Compound_SERE_Within_token1] = "within", + [anon_sym_LBRACE] = "{", + [anon_sym_RBRACE] = "}", + [anon_sym_PLUS2] = "+", + [anon_sym_STAR2] = "*", + [anon_sym_EQ3] = "=", + [anon_sym_DASH_GT2] = "->", + [anon_sym_BANG] = "!", + [anon_sym_AT2] = "@", + [aux_sym_PSL_Invariant_FL_Property_token1] = "always", + [aux_sym_PSL_Invariant_FL_Property_token2] = "never", + [aux_sym_PSL_Ocurrence_FL_Property_token1] = "eventually", + [anon_sym_BANG2] = "!", + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = "next_a", + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = "next_e", + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = "next_event", + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = "next_event_a", + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = "next_event_e", + [aux_sym_PSL_Termination_FL_Property_token1] = "async_abort", + [aux_sym_PSL_Termination_FL_Property_token2] = "sync_abort", + [aux_sym_PSL_Termination_FL_Property_token3] = "abort", + [aux_sym_PSL_Bounding_FL_Property_token1] = "before", + [anon_sym__] = "_", + [anon_sym_PIPE_EQ_GT] = "|=>", + [anon_sym_PIPE_DASH_GT] = "|->", + [aux_sym_PSL_Property_Replicator_token1] = "forall", + [aux_sym_boolean_token1] = "boolean", + [aux_sym_PSL_Constant_Parameter_Specification_token1] = "const", + [aux_sym_PSL_HDL_Type_token1] = "hdltype", + [aux_sym_PSL_Type_Class_token1] = "bit", + [aux_sym_PSL_Type_Class_token2] = "bit_vector", + [aux_sym_PSL_Type_Class_token3] = "numeric", + [aux_sym_PSL_Type_Class_token4] = "string", + [aux_sym_PSL_Assume_Directive_token1] = "assume", + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = "assume_guarantee", + [aux_sym_PSL_Restrict_Directive_token1] = "restrict", + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = "restrict_guarantee", + [aux_sym_PSL_Cover_Directive_token1] = "cover", + [aux_sym_PSL_Fairness_Directive_token1] = "fairness", + [aux_sym_PSL_Strong_Fairness_Directive_token1] = "strong", + [aux_sym_PSL_VProp_token1] = "vprop", + [aux_sym_PSL_VMode_token1] = "vmode", + [anon_sym_SLASH2] = "/", + [aux_sym_PSL_Inherit_Spec_token1] = "inherit", + [sym_design_file] = "design_file", + [sym_entity_declaration] = "entity_declaration", + [sym_architecture_body] = "architecture_body", + [sym_configuration_declaration] = "configuration_declaration", + [sym_block_configuration] = "block_configuration", + [sym_generate_statement_element] = "generate_statement_element", + [sym_component_configuration] = "component_configuration", + [sym_procedure_declaration] = "procedure_declaration", + [sym_function_declaration] = "function_declaration", + [sym__procedure_specification] = "_procedure_specification", + [sym__function_specification] = "_function_specification", + [sym_return] = "return", + [sym__end_designator] = "_end_designator", + [sym_procedure_parameter_clause] = "procedure_parameter_clause", + [sym_function_parameter_clause] = "function_parameter_clause", + [sym_procedure_body] = "procedure_body", + [sym_function_body] = "function_body", + [sym_procedure_instantiation_declaration] = "procedure_instantiation_declaration", + [sym_function_instantiation_declaration] = "function_instantiation_declaration", + [sym_signature] = "signature", + [sym_package_declaration] = "package_declaration", + [sym_package_body] = "package_body", + [sym_package_instantiation_declaration] = "package_instantiation_declaration", + [sym_range_constraint] = "range_constraint", + [sym_range_attribute_name] = "attribute_name", + [sym_ascending_range] = "ascending_range", + [sym_descending_range] = "descending_range", + [sym_enumeration_type_definition] = "enumeration_type_definition", + [sym__enumeration_literal] = "_enumeration_literal", + [sym_physical_type_definition] = "physical_type_definition", + [sym_primary_unit_declaration] = "primary_unit_declaration", + [sym_secondary_unit_declaration] = "secondary_unit_declaration", + [sym__physical_literal] = "physical_literal", + [sym_physical_literal] = "physical_literal", + [sym__composite_type_definition] = "_composite_type_definition", + [sym__array_type_definition] = "_array_type_definition", + [sym_unbounded_array_definition] = "unbounded_array_definition", + [sym_constrained_array_definition] = "constrained_array_definition", + [sym_index_subtype_definition] = "index_subtype_definition", + [sym_array_constraint] = "array_constraint", + [sym__array_element_constraint] = "_array_element_constraint", + [sym_index_constraint] = "index_constraint", + [sym_open] = "open", + [sym_record_type_definition] = "record_type_definition", + [sym_element_declaration] = "element_declaration", + [sym_record_constraint] = "record_constraint", + [sym_record_element_constraint] = "record_element_constraint", + [sym_identifier_list] = "identifier_list", + [sym_access_type_definition] = "access_type_definition", + [sym_incomplete_type_declaration] = "incomplete_type_declaration", + [sym_file_type_definition] = "file_type_definition", + [sym_protected_type_declaration] = "protected_type_declaration", + [sym_protected_type_body] = "protected_type_body", + [sym_declarative_part] = "declarative_part", + [sym__declaration] = "_declaration", + [sym__type_declaration] = "_type_declaration", + [sym_full_type_declaration] = "full_type_declaration", + [sym__type_definition] = "_type_definition", + [sym_subtype_declaration] = "subtype_declaration", + [sym_subtype_indication] = "subtype_indication", + [sym_resolution_function] = "resolution_function", + [sym_parenthesized_resolution] = "parenthesized_resolution", + [sym_record_resolution] = "record_resolution", + [sym_record_element_resolution] = "record_element_resolution", + [sym_type_mark] = "type_mark", + [sym_constant_declaration] = "constant_declaration", + [sym_signal_declaration] = "signal_declaration", + [sym_signal_kind] = "signal_kind", + [sym_variable_declaration] = "variable_declaration", + [sym_shared_variable_declaration] = "shared_variable_declaration", + [sym_file_declaration] = "file_declaration", + [sym_file_open_information] = "file_open_information", + [sym__file_logical_name] = "_file_logical_name", + [sym_constant_interface_declaration] = "constant_interface_declaration", + [sym_signal_interface_declaration] = "signal_interface_declaration", + [sym_variable_interface_declaration] = "variable_interface_declaration", + [sym_file_interface_declaration] = "file_interface_declaration", + [sym__in] = "_in", + [sym__out] = "_out", + [sym__inout] = "_inout", + [sym__buffer] = "_buffer", + [sym__linkage] = "_linkage", + [sym__constant_mode] = "mode", + [sym__variable_mode] = "mode", + [sym__signal_mode] = "mode", + [sym_type_interface_declaration] = "type_interface_declaration", + [sym_procedure_interface_declaration] = "procedure_interface_declaration", + [sym_function_interface_declaration] = "function_interface_declaration", + [sym_interface_subprogram_default] = "interface_subprogram_default", + [sym_package_interface_declaration] = "package_interface_declaration", + [sym_generic_clause] = "generic_clause", + [sym__semicolon] = "_semicolon", + [sym_port_clause] = "port_clause", + [sym_association_list] = "association_list", + [sym__association_element] = "_association_element", + [sym_positional_association_element] = "positional_association_element", + [sym_named_association_element] = "named_association_element", + [sym_generic_map_aspect] = "generic_map_aspect", + [sym_default] = "default", + [sym__any] = "_any", + [sym_port_map_aspect] = "port_map_aspect", + [sym__header] = "_header", + [sym_alias_declaration] = "alias_declaration", + [sym__alias_designator] = "_alias_designator", + [sym__operator_symbol] = "_operator_symbol", + [sym_attribute_declaration] = "attribute_declaration", + [sym_component_declaration] = "component_declaration", + [sym_group_template_declaration] = "group_template_declaration", + [sym_entity_class_entry_list] = "entity_class_entry_list", + [sym_entity_class_entry] = "entity_class_entry", + [sym_group_declaration] = "group_declaration", + [sym_group_constituent_list] = "group_constituent_list", + [sym_attribute_specification] = "attribute_specification", + [sym_entity_name_list] = "entity_name_list", + [sym_entity_specification] = "entity_specification", + [sym_entity_class] = "entity_class", + [sym_entity_designator] = "entity_designator", + [sym__entity_tag] = "_entity_tag", + [sym_configuration_specification] = "configuration_specification", + [sym__component_specification] = "_component_specification", + [sym_instantiation_list] = "instantiation_list", + [sym_all] = "all", + [sym_binding_indication] = "binding_indication", + [sym__entity_aspect] = "_entity_aspect", + [sym_verification_unit_binding_indication] = "verification_unit_binding_indication", + [sym_verification_unit_list] = "verification_unit_list", + [sym_disconnection_specification] = "disconnection_specification", + [sym_guarded_signal_specification] = "guarded_signal_specification", + [sym_signal_list] = "signal_list", + [sym__simple_name] = "_simple_name", + [sym__end_simple_name] = "_end_simple_name", + [sym_selected_name] = "selected_name", + [sym_ambiguous_name] = "ambiguous_name", + [sym_expression_list] = "expression_list", + [sym_slice_name] = "slice_name", + [sym_attribute_name] = "attribute_name", + [sym__attribute_designator] = "_attribute_designator", + [sym__predefined_attribute_designator] = "_predefined_attribute_designator", + [sym__predefined_attribute_designator_with_expression] = "_predefined_attribute_designator_with_expression", + [sym__predefined_designator] = "predefined_designator", + [sym__predefined_designator_with_expression] = "predefined_designator", + [sym__range_designator] = "predefined_designator", + [sym_external_constant_name] = "external_constant_name", + [sym_external_signal_name] = "external_signal_name", + [sym_external_variable_name] = "external_variable_name", + [sym_package_pathname] = "package_pathname", + [sym_absolute_pathname] = "absolute_pathname", + [sym_relative_pathname] = "relative_pathname", + [sym__partial_pathname] = "_partial_pathname", + [sym_pathname_element] = "pathname_element", + [sym__expr] = "_expr", + [sym__unary_expression] = "_unary_expression", + [sym__binary_expression] = "_binary_expression", + [sym__primary] = "_primary", + [sym_default_expression] = "default_expression", + [sym_inertial_expression] = "inertial_expression", + [sym_parenthesized_expression] = "parenthesized_expression", + [sym_condition] = "condition", + [sym_reduction] = "reduction", + [sym_factor] = "factor", + [sym_sign] = "sign", + [sym_logical_expression] = "logical_expression", + [sym_relation] = "relation", + [sym_shift_expression] = "shift_expression", + [sym_simple_expression] = "simple_expression", + [sym_concatenation] = "concatenation", + [sym_term] = "term", + [sym_exponentiation] = "exponentiation", + [sym_null] = "null", + [sym_aggregate] = "aggregate", + [sym__element_association_list] = "_element_association_list", + [sym_positional_element_association] = "positional_element_association", + [sym_named_element_association] = "named_element_association", + [sym_choices] = "choices", + [sym_others] = "others", + [sym_function_call] = "function_call", + [sym_qualified_expression] = "qualified_expression", + [sym_allocator] = "allocator", + [sym_sequence_of_statements] = "sequence_of_statements", + [sym__sequential_statement] = "_sequential_statement", + [sym_wait_statement] = "wait_statement", + [sym_sensitivity_list] = "sensitivity_list", + [sym_assertion_statement] = "assertion_statement", + [sym_report_statement] = "report_statement", + [sym__report] = "_report", + [sym__severity] = "_severity", + [sym_simple_waveform_assignment] = "simple_waveform_assignment", + [sym_simple_force_assignment] = "simple_force_assignment", + [sym_simple_release_assignment] = "simple_release_assignment", + [sym_force_mode] = "force_mode", + [sym_delay_mechanism] = "delay_mechanism", + [sym_transport] = "transport", + [sym_inertial] = "inertial", + [sym__reject] = "_reject", + [sym__target] = "_target", + [sym_waveforms] = "waveforms", + [sym_unaffected] = "unaffected", + [sym_waveform_element] = "waveform_element", + [sym__after] = "_after", + [sym_conditional_waveform_assignment] = "conditional_waveform_assignment", + [sym__when_clause] = "_when_clause", + [sym_conditional_waveforms] = "conditional_waveforms", + [sym_alternative_conditional_waveforms] = "alternative_conditional_waveforms", + [sym_conditional_force_assignment] = "conditional_force_assignment", + [sym_conditional_expressions] = "conditional_expressions", + [sym_alternative_conditional_expressions] = "alternative_conditional_expressions", + [sym_selected_waveform_assignment] = "selected_waveform_assignment", + [sym_selected_force_assignment] = "selected_force_assignment", + [sym_selected_waveforms] = "selected_waveforms", + [sym_alternative_selected_waveforms] = "alternative_selected_waveforms", + [sym_selected_expressions] = "selected_expressions", + [sym_alternative_selected_expressions] = "alternative_selected_expressions", + [sym_simple_variable_assignment] = "simple_variable_assignment", + [sym_conditional_variable_assignment] = "conditional_variable_assignment", + [sym_selected_variable_assignment] = "selected_variable_assignment", + [sym_procedure_call_statement] = "procedure_call_statement", + [sym_if_statement] = "if_statement", + [sym_if] = "if", + [sym_elsif] = "elsif", + [sym_else] = "else", + [sym_case_statement] = "case_statement", + [sym_case_statement_alternative] = "case_statement_alternative", + [sym_loop_statement] = "loop_statement", + [sym_while_loop] = "while_loop", + [sym_for_loop] = "for_loop", + [sym_parameter_specification] = "parameter_specification", + [sym_next_statement] = "next_statement", + [sym__loop_label] = "_loop_label", + [sym_exit_statement] = "exit_statement", + [sym_return_statement] = "return_statement", + [sym_null_statement] = "null_statement", + [sym_concurrent_statement_part] = "concurrent_statement_part", + [sym__concurrent_statement] = "_concurrent_statement", + [sym_block_statement] = "block_statement", + [sym__guard] = "_guard", + [sym_process_statement] = "process_statement", + [sym_component_instantiation_statement] = "component_instantiation_statement", + [sym_entity_instantiation] = "entity_instantiation", + [sym_configuration_instantiation] = "configuration_instantiation", + [sym_component_instantiation] = "component_instantiation", + [sym_for_generate_statement] = "for_generate_statement", + [sym_if_generate_statement] = "if_generate_statement", + [sym_if_generate] = "if_generate", + [sym_elsif_generate] = "elsif_generate", + [sym_else_generate] = "else_generate", + [sym_case_generate_statement] = "case_generate_statement", + [sym_case_generate_alternative] = "case_generate_alternative", + [sym_generate_statement_body] = "generate_statement_body", + [sym_label] = "label", + [sym_use_clause] = "use_clause", + [sym_design_unit] = "design_unit", + [sym__primary_unit] = "_primary_unit", + [sym__secondary_unit] = "_secondary_unit", + [sym_library_clause] = "library_clause", + [sym_logical_name_list] = "logical_name_list", + [sym_context_declaration] = "context_declaration", + [sym_context_clause] = "context_clause", + [sym__context_item] = "_context_item", + [sym_context_reference] = "context_reference", + [sym_context_list] = "context_list", + [sym_character_literal] = "character_literal", + [sym_string_literal] = "string_literal", + [sym_bit_string_literal] = "bit_string_literal", + [sym__PSL_Number] = "_PSL_Number", + [sym_PSL_Expression] = "PSL_Expression", + [sym_PSL_Built_In_Function_Call] = "PSL_Built_In_Function_Call", + [sym_PSL_Union_Expression] = "PSL_Union_Expression", + [sym__PSL_Declaration] = "_PSL_Declaration", + [sym_PSL_Clock_Declaration] = "PSL_Clock_Declaration", + [sym__PSL_SERE] = "_PSL_SERE", + [sym_PSL_Simple_SERE] = "PSL_Simple_SERE", + [sym__PSL_Fusion_SERE] = "_PSL_Fusion_SERE", + [sym__PSL_Concat_SERE] = "_PSL_Concat_SERE", + [sym__PSL_Compound_SERE] = "_PSL_Compound_SERE", + [sym_PSL_Compound_SERE_And] = "PSL_Compound_SERE", + [sym_PSL_Compound_SERE_Within] = "PSL_Compound_SERE", + [sym_PSL_Parameterized_SERE] = "PSL_Parameterized_SERE", + [sym_PSL_Parameters_Definition] = "PSL_Parameters_Definition", + [sym_PSL_Parameter_Specification] = "PSL_Parameter_Specification", + [sym__PSL_Sequence] = "_PSL_Sequence", + [sym_PSL_Repeated_SERE] = "PSL_Repeated_SERE", + [sym_PSL_Count] = "PSL_Count", + [sym__PSL_Range] = "_PSL_Range", + [sym_PSL_Braced_SERE] = "PSL_Braced_SERE", + [sym_PSL_Clocked_SERE] = "PSL_Clocked_SERE", + [sym_PSL_Parenthesized_FL_Property] = "PSL_Parenthesized_FL_Property", + [sym_PSL_Sequential_FL_Property] = "PSL_Sequential_FL_Property", + [sym_PSL_Clocked_FL_Property] = "PSL_Clocked_FL_Property", + [sym_PSL_Invariant_FL_Property] = "PSL_Invariant_FL_Property", + [sym_PSL_Ocurrence_FL_Property] = "PSL_Ocurrence_FL_Property", + [sym_PSL_Implication_FL_Property] = "PSL_Implication_FL_Property", + [sym_PSL_Logical_FL_Property] = "PSL_Logical_FL_Property", + [sym_PSL_Factor_FL_Property] = "PSL_Factor_FL_Property", + [sym_PSL_Extended_Ocurrence_FL_Property] = "PSL_Extended_Ocurrence_FL_Property", + [sym__PSL_Extended_Ocurrence_argument] = "_PSL_Extended_Ocurrence_argument", + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = "_PSL_Extended_Ocurrence_FL_Property_Count_Specification", + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = "_PSL_Extended_Ocurrence_FL_Property_Until_Specification", + [sym_PSL_Termination_FL_Property] = "PSL_Termination_FL_Property", + [sym_PSL_Bounding_FL_Property] = "PSL_Bounding_FL_Property", + [sym_PSL_Suffix_Implication_FL_Property] = "PSL_Suffix_Implication_FL_Property", + [sym_PSL_Parameterized_Property] = "PSL_Parameterized_Property", + [sym_PSL_Property_Replicator] = "PSL_Property_Replicator", + [sym_PSL_Index_Range] = "PSL_Index_Range", + [sym_PSL_Value_Set] = "PSL_Value_Set", + [sym_boolean] = "boolean", + [sym__PSL_Value_Range] = "_PSL_Value_Range", + [sym_PSL_Property_Declaration] = "PSL_Property_Declaration", + [sym_PSL_Sequence_Declaration] = "PSL_Sequence_Declaration", + [sym_PSL_Formal_Parameter_List] = "PSL_Formal_Parameter_List", + [sym_PSL_Formal_Parameter] = "PSL_Formal_Parameter", + [sym__PSL_Parameter_Specification] = "_PSL_Parameter_Specification", + [sym_PSL_Constant_Parameter_Specification] = "PSL_Constant_Parameter_Specification", + [sym_PSL_Temporal_Parameter_Specification] = "PSL_Temporal_Parameter_Specification", + [sym_PSL_HDL_Type] = "PSL_HDL_Type", + [sym_PSL_Type_Class] = "PSL_Type_Class", + [sym_PSL_Instance] = "PSL_Ambiguous_Instance", + [sym__PSL_Ambiguous_Instance] = "_PSL_Ambiguous_Instance", + [sym__PSL_Sequence_Instance] = "_PSL_Sequence_Instance", + [sym__PSL_Property_Instance] = "_PSL_Property_Instance", + [sym_PSL_Actual_Parameter_List] = "PSL_Actual_Parameter_List", + [sym_PSL_Actual_Parameter] = "PSL_Actual_Parameter", + [sym__PSL_Directive] = "_PSL_Directive", + [sym_PSL_Assert_Directive] = "PSL_Assert_Directive", + [sym_PSL_Assume_Directive] = "PSL_Assume_Directive", + [sym_PSL_Assume_Guarantee_Directive] = "PSL_Assume_Guarantee_Directive", + [sym_PSL_Restrict_Directive] = "PSL_Restrict_Directive", + [sym_PSL_Restrict_Guarantee_Directive] = "PSL_Restrict_Guarantee_Directive", + [sym_PSL_Cover_Directive] = "PSL_Cover_Directive", + [sym_PSL_Fairness_Directive] = "PSL_Fairness_Directive", + [sym_PSL_Strong_Fairness_Directive] = "PSL_Strong_Fairness_Directive", + [sym__PSL_Verification_Unit] = "_PSL_Verification_Unit", + [sym_PSL_VUnit] = "PSL_VUnit", + [sym_PSL_VProp] = "PSL_VProp", + [sym_PSL_VMode] = "PSL_VMode", + [sym_PSL_Verification_Unit_Body] = "PSL_Verification_Unit_Body", + [sym_PSL_Hierarchical_HDL_Name] = "PSL_Hierarchical_HDL_Name", + [sym_PSL_Inherit_Spec] = "PSL_Inherit_Spec", + [sym__PSL_VUnit_Item] = "_PSL_VUnit_Item", + [aux_sym_design_file_repeat1] = "design_file_repeat1", + [aux_sym_configuration_declaration_repeat1] = "configuration_declaration_repeat1", + [aux_sym_block_configuration_repeat1] = "block_configuration_repeat1", + [aux_sym_block_configuration_repeat2] = "block_configuration_repeat2", + [aux_sym_signature_repeat1] = "signature_repeat1", + [aux_sym_enumeration_type_definition_repeat1] = "enumeration_type_definition_repeat1", + [aux_sym_physical_type_definition_repeat1] = "physical_type_definition_repeat1", + [aux_sym_unbounded_array_definition_repeat1] = "unbounded_array_definition_repeat1", + [aux_sym_index_constraint_repeat1] = "index_constraint_repeat1", + [aux_sym_record_type_definition_repeat1] = "record_type_definition_repeat1", + [aux_sym_record_constraint_repeat1] = "record_constraint_repeat1", + [aux_sym_identifier_list_repeat1] = "identifier_list_repeat1", + [aux_sym_declarative_part_repeat1] = "declarative_part_repeat1", + [aux_sym_record_resolution_repeat1] = "record_resolution_repeat1", + [aux_sym__generic_interface_list_repeat1] = "_generic_interface_list_repeat1", + [aux_sym__port_interface_list_repeat1] = "_port_interface_list_repeat1", + [aux_sym__procedure_parameter_list_repeat1] = "_procedure_parameter_list_repeat1", + [aux_sym__function_parameter_list_repeat1] = "_function_parameter_list_repeat1", + [aux_sym_association_list_repeat1] = "association_list_repeat1", + [aux_sym_entity_class_entry_list_repeat1] = "entity_class_entry_list_repeat1", + [aux_sym_group_constituent_list_repeat1] = "group_constituent_list_repeat1", + [aux_sym_entity_name_list_repeat1] = "entity_name_list_repeat1", + [aux_sym_instantiation_list_repeat1] = "instantiation_list_repeat1", + [aux_sym_verification_unit_list_repeat1] = "verification_unit_list_repeat1", + [aux_sym_signal_list_repeat1] = "signal_list_repeat1", + [aux_sym_expression_list_repeat1] = "expression_list_repeat1", + [aux_sym_package_pathname_repeat1] = "package_pathname_repeat1", + [aux_sym_relative_pathname_repeat1] = "relative_pathname_repeat1", + [aux_sym__partial_pathname_repeat1] = "_partial_pathname_repeat1", + [aux_sym_logical_expression_repeat1] = "logical_expression_repeat1", + [aux_sym_logical_expression_repeat2] = "logical_expression_repeat2", + [aux_sym_logical_expression_repeat3] = "logical_expression_repeat3", + [aux_sym_logical_expression_repeat4] = "logical_expression_repeat4", + [aux_sym_simple_expression_repeat1] = "simple_expression_repeat1", + [aux_sym_concatenation_repeat1] = "concatenation_repeat1", + [aux_sym_term_repeat1] = "term_repeat1", + [aux_sym__element_association_list_repeat1] = "_element_association_list_repeat1", + [aux_sym_choices_repeat1] = "choices_repeat1", + [aux_sym_sequence_of_statements_repeat1] = "sequence_of_statements_repeat1", + [aux_sym_waveforms_repeat1] = "waveforms_repeat1", + [aux_sym_conditional_waveforms_repeat1] = "conditional_waveforms_repeat1", + [aux_sym_conditional_expressions_repeat1] = "conditional_expressions_repeat1", + [aux_sym_selected_waveforms_repeat1] = "selected_waveforms_repeat1", + [aux_sym_selected_expressions_repeat1] = "selected_expressions_repeat1", + [aux_sym_if_statement_repeat1] = "if_statement_repeat1", + [aux_sym_case_statement_repeat1] = "case_statement_repeat1", + [aux_sym_concurrent_statement_part_repeat1] = "concurrent_statement_part_repeat1", + [aux_sym_if_generate_statement_repeat1] = "if_generate_statement_repeat1", + [aux_sym_case_generate_statement_repeat1] = "case_generate_statement_repeat1", + [aux_sym_use_clause_repeat1] = "use_clause_repeat1", + [aux_sym_logical_name_list_repeat1] = "logical_name_list_repeat1", + [aux_sym_context_clause_repeat1] = "context_clause_repeat1", + [aux_sym_PSL_Built_In_Function_Call_repeat1] = "PSL_Built_In_Function_Call_repeat1", + [aux_sym__PSL_Fusion_SERE_repeat1] = "_PSL_Fusion_SERE_repeat1", + [aux_sym__PSL_Concat_SERE_repeat1] = "_PSL_Concat_SERE_repeat1", + [aux_sym_PSL_Compound_SERE_And_repeat1] = "PSL_Compound_SERE_And_repeat1", + [aux_sym_PSL_Compound_SERE_Within_repeat1] = "PSL_Compound_SERE_Within_repeat1", + [aux_sym_PSL_Parameters_Definition_repeat1] = "PSL_Parameters_Definition_repeat1", + [aux_sym_PSL_Clocked_FL_Property_repeat1] = "PSL_Clocked_FL_Property_repeat1", + [aux_sym_PSL_Implication_FL_Property_repeat1] = "PSL_Implication_FL_Property_repeat1", + [aux_sym_PSL_Logical_FL_Property_repeat1] = "PSL_Logical_FL_Property_repeat1", + [aux_sym_PSL_Value_Set_repeat1] = "PSL_Value_Set_repeat1", + [aux_sym_PSL_Formal_Parameter_List_repeat1] = "PSL_Formal_Parameter_List_repeat1", + [aux_sym_PSL_Formal_Parameter_repeat1] = "PSL_Formal_Parameter_repeat1", + [aux_sym_PSL_Actual_Parameter_List_repeat1] = "PSL_Actual_Parameter_List_repeat1", + [aux_sym_PSL_Verification_Unit_Body_repeat1] = "PSL_Verification_Unit_Body_repeat1", + [aux_sym_PSL_Verification_Unit_Body_repeat2] = "PSL_Verification_Unit_Body_repeat2", + [aux_sym_PSL_Hierarchical_HDL_Name_repeat1] = "PSL_Hierarchical_HDL_Name_repeat1", + [aux_sym_PSL_Inherit_Spec_repeat1] = "PSL_Inherit_Spec_repeat1", + [alias_sym_PSL_Any_Type] = "PSL_Any_Type", + [alias_sym_PSL_Boolean] = "PSL_Boolean", + [alias_sym_PSL_Identifier] = "PSL_Identifier", + [alias_sym_PSL_Number] = "PSL_Number", + [alias_sym_PSL_Property_Instance] = "PSL_Property_Instance", + [alias_sym_PSL_Sequence_Instance] = "PSL_Sequence_Instance", + [alias_sym_any] = "any", + [alias_sym_array_element_constraint] = "array_element_constraint", + [alias_sym_block_header] = "block_header", + [alias_sym_block_specification] = "block_specification", + [alias_sym_component_header] = "component_header", + [alias_sym_component_map_aspect] = "component_map_aspect", + [alias_sym_conditional_concurrent_signal_assignment] = "conditional_concurrent_signal_assignment", + [alias_sym_conditional_expression] = "conditional_expression", + [alias_sym_entity_header] = "entity_header", + [alias_sym_expression] = "expression", + [alias_sym_extended_simple_name] = "extended_simple_name", + [alias_sym_file_open_kind] = "file_open_kind", + [alias_sym_identifier] = "identifier", + [alias_sym_numeric_type_definition] = "numeric_type_definition", + [alias_sym_operator_symbol] = "operator_symbol", + [alias_sym_package_header] = "package_header", + [alias_sym_package_map_aspect] = "package_map_aspect", + [alias_sym_selected_concurrent_signal_assignment] = "selected_concurrent_signal_assignment", + [alias_sym_semicolon] = "semicolon", + [alias_sym_severity_expression] = "severity_expression", + [alias_sym_simple_concurrent_signal_assignment] = "simple_concurrent_signal_assignment", + [alias_sym_string_expression] = "string_expression", + [alias_sym_subprogram_header] = "subprogram_header", + [alias_sym_subprogram_map_aspect] = "subprogram_map_aspect", + [alias_sym_time_expression] = "time_expression", +}; + +static const TSSymbol ts_symbol_map[] = { + [ts_builtin_sym_end] = ts_builtin_sym_end, + [sym_basic_identifier] = sym_basic_identifier, + [aux_sym_entity_declaration_token1] = aux_sym_entity_declaration_token1, + [aux_sym_entity_declaration_token2] = aux_sym_entity_declaration_token2, + [aux_sym_entity_declaration_token3] = aux_sym_entity_declaration_token3, + [aux_sym_entity_declaration_token4] = aux_sym_entity_declaration_token4, + [anon_sym_SEMI] = anon_sym_SEMI, + [aux_sym_architecture_body_token1] = aux_sym_architecture_body_token1, + [aux_sym_architecture_body_token2] = aux_sym_architecture_body_token2, + [aux_sym_configuration_declaration_token1] = aux_sym_configuration_declaration_token1, + [aux_sym_block_configuration_token1] = aux_sym_block_configuration_token1, + [anon_sym_LPAREN] = anon_sym_LPAREN, + [anon_sym_RPAREN] = anon_sym_RPAREN, + [aux_sym__procedure_specification_token1] = aux_sym__procedure_specification_token1, + [aux_sym__procedure_specification_token2] = aux_sym__procedure_specification_token2, + [aux_sym__procedure_specification_token3] = aux_sym__procedure_specification_token3, + [aux_sym__function_specification_token1] = aux_sym__function_specification_token1, + [anon_sym_COMMA] = anon_sym_COMMA, + [aux_sym_return_token1] = aux_sym_return_token1, + [aux_sym_procedure_parameter_clause_token1] = aux_sym_procedure_parameter_clause_token1, + [aux_sym_procedure_instantiation_declaration_token1] = aux_sym_procedure_instantiation_declaration_token1, + [anon_sym_LBRACK] = anon_sym_LBRACK, + [anon_sym_RBRACK] = anon_sym_RBRACK, + [aux_sym_package_declaration_token1] = aux_sym_package_declaration_token1, + [aux_sym_package_body_token1] = aux_sym_package_body_token1, + [aux_sym_range_constraint_token1] = aux_sym_range_constraint_token1, + [aux_sym_ascending_range_token1] = aux_sym_ascending_range_token1, + [aux_sym_descending_range_token1] = aux_sym_descending_range_token1, + [aux_sym_physical_type_definition_token1] = aux_sym_physical_type_definition_token1, + [anon_sym_EQ] = anon_sym_EQ, + [aux_sym_unbounded_array_definition_token1] = aux_sym_unbounded_array_definition_token1, + [aux_sym_open_token1] = aux_sym_open_token1, + [aux_sym_record_type_definition_token1] = aux_sym_record_type_definition_token1, + [anon_sym_COLON] = anon_sym_COLON, + [aux_sym_access_type_definition_token1] = aux_sym_access_type_definition_token1, + [aux_sym_incomplete_type_declaration_token1] = aux_sym_incomplete_type_declaration_token1, + [aux_sym_file_type_definition_token1] = aux_sym_file_type_definition_token1, + [aux_sym_protected_type_declaration_token1] = aux_sym_protected_type_declaration_token1, + [aux_sym_subtype_declaration_token1] = aux_sym_subtype_declaration_token1, + [aux_sym_constant_declaration_token1] = aux_sym_constant_declaration_token1, + [aux_sym_signal_declaration_token1] = aux_sym_signal_declaration_token1, + [aux_sym_signal_kind_token1] = aux_sym_signal_kind_token1, + [aux_sym_signal_kind_token2] = aux_sym_signal_kind_token2, + [aux_sym_variable_declaration_token1] = aux_sym_variable_declaration_token1, + [aux_sym_shared_variable_declaration_token1] = aux_sym_shared_variable_declaration_token1, + [aux_sym__in_token1] = aux_sym__in_token1, + [aux_sym__out_token1] = aux_sym__out_token1, + [aux_sym__inout_token1] = aux_sym__inout_token1, + [aux_sym__buffer_token1] = aux_sym__buffer_token1, + [aux_sym__linkage_token1] = aux_sym__linkage_token1, + [anon_sym_LT_GT] = anon_sym_LT_GT, + [aux_sym_generic_clause_token1] = aux_sym_generic_clause_token1, + [aux_sym_port_clause_token1] = aux_sym_port_clause_token1, + [anon_sym_EQ_GT] = anon_sym_EQ_GT, + [aux_sym_generic_map_aspect_token1] = aux_sym_generic_map_aspect_token1, + [aux_sym_default_token1] = aux_sym_default_token1, + [aux_sym_alias_declaration_token1] = aux_sym_alias_declaration_token1, + [aux_sym_attribute_declaration_token1] = aux_sym_attribute_declaration_token1, + [aux_sym_component_declaration_token1] = aux_sym_component_declaration_token1, + [aux_sym_group_template_declaration_token1] = aux_sym_group_template_declaration_token1, + [aux_sym_entity_class_token1] = aux_sym_entity_class_token1, + [aux_sym_entity_class_token2] = aux_sym_entity_class_token2, + [aux_sym_entity_class_token3] = aux_sym_entity_class_token3, + [aux_sym_entity_class_token4] = aux_sym_entity_class_token4, + [aux_sym_all_token1] = aux_sym_all_token1, + [aux_sym_binding_indication_token1] = aux_sym_binding_indication_token1, + [aux_sym_verification_unit_binding_indication_token1] = aux_sym_verification_unit_binding_indication_token1, + [aux_sym_disconnection_specification_token1] = aux_sym_disconnection_specification_token1, + [anon_sym_DOT] = anon_sym_DOT, + [anon_sym_SQUOTE] = anon_sym_SQUOTE, + [aux_sym__predefined_designator_token1] = aux_sym__predefined_designator_token1, + [aux_sym__predefined_designator_token2] = aux_sym__predefined_designator_token2, + [aux_sym__predefined_designator_token3] = aux_sym__predefined_designator_token3, + [aux_sym__predefined_designator_token4] = aux_sym__predefined_designator_token4, + [aux_sym__predefined_designator_token5] = aux_sym__predefined_designator_token5, + [aux_sym__predefined_designator_token6] = aux_sym__predefined_designator_token6, + [aux_sym__predefined_designator_token7] = aux_sym__predefined_designator_token7, + [aux_sym__predefined_designator_token8] = aux_sym__predefined_designator_token8, + [aux_sym__predefined_designator_token9] = aux_sym__predefined_designator_token9, + [aux_sym__predefined_designator_token10] = aux_sym__predefined_designator_token10, + [aux_sym__predefined_designator_token11] = aux_sym__predefined_designator_token11, + [aux_sym__predefined_designator_token12] = aux_sym__predefined_designator_token12, + [aux_sym__predefined_designator_token13] = aux_sym__predefined_designator_token13, + [aux_sym__predefined_designator_token14] = aux_sym__predefined_designator_token14, + [aux_sym__predefined_designator_token15] = aux_sym__predefined_designator_token15, + [aux_sym__predefined_designator_token16] = aux_sym__predefined_designator_token16, + [aux_sym__predefined_designator_token17] = aux_sym__predefined_designator_token17, + [aux_sym__predefined_designator_token18] = aux_sym__predefined_designator_token18, + [aux_sym__predefined_designator_token19] = aux_sym__predefined_designator_token19, + [aux_sym__predefined_designator_token20] = aux_sym__predefined_designator_token20, + [aux_sym__predefined_designator_token21] = aux_sym__predefined_designator_token21, + [aux_sym__predefined_designator_token22] = aux_sym__predefined_designator_token22, + [aux_sym__predefined_designator_token23] = aux_sym__predefined_designator_token23, + [aux_sym__predefined_designator_token24] = aux_sym__predefined_designator_token24, + [aux_sym__predefined_designator_token25] = aux_sym__predefined_designator_token25, + [aux_sym__predefined_designator_token26] = aux_sym__predefined_designator_token26, + [aux_sym__predefined_designator_token27] = aux_sym__predefined_designator_token27, + [aux_sym__predefined_designator_token28] = aux_sym__predefined_designator_token28, + [aux_sym__predefined_designator_token29] = aux_sym__predefined_designator_token29, + [aux_sym__predefined_designator_token30] = aux_sym__predefined_designator_token30, + [aux_sym__predefined_designator_with_expression_token1] = aux_sym__predefined_designator_with_expression_token1, + [aux_sym__range_designator_token1] = aux_sym__range_designator_token1, + [anon_sym_LT_LT] = anon_sym_LT_LT, + [anon_sym_GT_GT] = anon_sym_GT_GT, + [anon_sym_AT] = anon_sym_AT, + [anon_sym_DOT2] = anon_sym_DOT, + [anon_sym_CARET_DOT] = anon_sym_CARET_DOT, + [anon_sym_COLON_EQ] = anon_sym_COLON_EQ, + [aux_sym_inertial_expression_token1] = aux_sym_inertial_expression_token1, + [anon_sym_QMARK_QMARK] = anon_sym_QMARK_QMARK, + [aux_sym_reduction_token1] = aux_sym_reduction_token1, + [aux_sym_reduction_token2] = aux_sym_reduction_token2, + [aux_sym_reduction_token3] = aux_sym_reduction_token3, + [aux_sym_reduction_token4] = aux_sym_reduction_token4, + [aux_sym_reduction_token5] = aux_sym_reduction_token5, + [aux_sym_reduction_token6] = aux_sym_reduction_token6, + [aux_sym_factor_token1] = aux_sym_factor_token1, + [aux_sym_factor_token2] = aux_sym_factor_token2, + [anon_sym_PLUS] = anon_sym_PLUS, + [anon_sym_DASH] = anon_sym_DASH, + [anon_sym_LT] = anon_sym_LT, + [anon_sym_GT] = anon_sym_GT, + [anon_sym_EQ2] = anon_sym_EQ, + [anon_sym_LT_EQ] = anon_sym_LT_EQ, + [anon_sym_GT_EQ] = anon_sym_GT_EQ, + [anon_sym_SLASH_EQ] = anon_sym_SLASH_EQ, + [anon_sym_QMARK_LT] = anon_sym_QMARK_LT, + [anon_sym_QMARK_GT] = anon_sym_QMARK_GT, + [anon_sym_QMARK_EQ] = anon_sym_QMARK_EQ, + [anon_sym_QMARK_LT_EQ] = anon_sym_QMARK_LT_EQ, + [anon_sym_QMARK_GT_EQ] = anon_sym_QMARK_GT_EQ, + [anon_sym_QMARK_SLASH_EQ] = anon_sym_QMARK_SLASH_EQ, + [aux_sym_shift_expression_token1] = aux_sym_shift_expression_token1, + [aux_sym_shift_expression_token2] = aux_sym_shift_expression_token2, + [aux_sym_shift_expression_token3] = aux_sym_shift_expression_token3, + [aux_sym_shift_expression_token4] = aux_sym_shift_expression_token4, + [aux_sym_shift_expression_token5] = aux_sym_shift_expression_token5, + [aux_sym_shift_expression_token6] = aux_sym_shift_expression_token6, + [anon_sym_AMP] = anon_sym_AMP, + [anon_sym_STAR] = anon_sym_STAR, + [anon_sym_SLASH] = anon_sym_SLASH, + [aux_sym_term_token1] = aux_sym_term_token1, + [aux_sym_term_token2] = aux_sym_term_token2, + [anon_sym_STAR_STAR] = anon_sym_STAR_STAR, + [aux_sym_null_token1] = aux_sym_null_token1, + [anon_sym_PIPE] = anon_sym_PIPE, + [aux_sym_others_token1] = aux_sym_others_token1, + [aux_sym_wait_statement_token1] = aux_sym_wait_statement_token1, + [aux_sym__sensitivity_clause_token1] = aux_sym__sensitivity_clause_token1, + [aux_sym__condition_clause_token1] = aux_sym__condition_clause_token1, + [aux_sym_assertion_statement_token1] = aux_sym_assertion_statement_token1, + [aux_sym_assertion_statement_token2] = aux_sym_assertion_statement_token2, + [aux_sym__report_token1] = aux_sym__report_token1, + [aux_sym__severity_token1] = aux_sym__severity_token1, + [anon_sym_LT_EQ2] = anon_sym_LT_EQ, + [aux_sym_simple_waveform_assignment_token1] = aux_sym_simple_waveform_assignment_token1, + [aux_sym_simple_force_assignment_token1] = aux_sym_simple_force_assignment_token1, + [aux_sym_simple_release_assignment_token1] = aux_sym_simple_release_assignment_token1, + [aux_sym_transport_token1] = aux_sym_transport_token1, + [aux_sym__reject_token1] = aux_sym__reject_token1, + [aux_sym_unaffected_token1] = aux_sym_unaffected_token1, + [aux_sym__after_token1] = aux_sym__after_token1, + [aux_sym__when_clause_token1] = aux_sym__when_clause_token1, + [aux_sym_alternative_conditional_waveforms_token1] = aux_sym_alternative_conditional_waveforms_token1, + [aux_sym_selected_waveform_assignment_token1] = aux_sym_selected_waveform_assignment_token1, + [aux_sym_selected_waveform_assignment_token2] = aux_sym_selected_waveform_assignment_token2, + [anon_sym_QMARK] = anon_sym_QMARK, + [aux_sym_if_statement_token1] = aux_sym_if_statement_token1, + [aux_sym_if_token1] = aux_sym_if_token1, + [aux_sym_elsif_token1] = aux_sym_elsif_token1, + [aux_sym_case_statement_token1] = aux_sym_case_statement_token1, + [aux_sym_loop_statement_token1] = aux_sym_loop_statement_token1, + [aux_sym_while_loop_token1] = aux_sym_while_loop_token1, + [aux_sym_next_statement_token1] = aux_sym_next_statement_token1, + [aux_sym_exit_statement_token1] = aux_sym_exit_statement_token1, + [aux_sym_block_statement_token1] = aux_sym_block_statement_token1, + [aux_sym_process_statement_token1] = aux_sym_process_statement_token1, + [aux_sym_for_generate_statement_token1] = aux_sym_for_generate_statement_token1, + [aux_sym_library_clause_token1] = aux_sym_library_clause_token1, + [aux_sym_context_declaration_token1] = aux_sym_context_declaration_token1, + [sym_extended_identifier] = sym_extended_identifier, + [sym_integer_decimal] = sym_integer_decimal, + [sym_real_decimal] = sym_real_decimal, + [sym_based_integer] = sym_based_integer, + [sym_based_real] = sym_based_real, + [aux_sym_character_literal_token1] = aux_sym_character_literal_token1, + [aux_sym_character_literal_token2] = aux_sym_character_literal_token2, + [aux_sym_string_literal_token1] = aux_sym_string_literal_token1, + [aux_sym_string_literal_token2] = aux_sym_string_literal_token2, + [anon_sym_DQUOTE] = anon_sym_DQUOTE, + [aux_sym_bit_string_literal_token1] = aux_sym_bit_string_literal_token1, + [aux_sym_bit_string_literal_token2] = aux_sym_bit_string_literal_token2, + [aux_sym_bit_string_literal_token3] = aux_sym_bit_string_literal_token3, + [aux_sym_bit_string_literal_token4] = aux_sym_bit_string_literal_token4, + [sym_comment] = sym_comment, + [sym_tool_directive] = sym_tool_directive, + [anon_sym_DASH_GT] = anon_sym_DASH_GT, + [anon_sym_LT_DASH_GT] = anon_sym_LT_DASH_GT, + [aux_sym_PSL_Built_In_Function_Call_token1] = aux_sym_PSL_Built_In_Function_Call_token1, + [aux_sym_PSL_Built_In_Function_Call_token2] = aux_sym_PSL_Built_In_Function_Call_token2, + [aux_sym_PSL_Built_In_Function_Call_token3] = aux_sym_PSL_Built_In_Function_Call_token3, + [aux_sym_PSL_Built_In_Function_Call_token4] = aux_sym_PSL_Built_In_Function_Call_token4, + [aux_sym_PSL_Built_In_Function_Call_token5] = aux_sym_PSL_Built_In_Function_Call_token5, + [aux_sym_PSL_Built_In_Function_Call_token6] = aux_sym_PSL_Built_In_Function_Call_token6, + [aux_sym_PSL_Built_In_Function_Call_token7] = aux_sym_PSL_Built_In_Function_Call_token7, + [aux_sym_PSL_Built_In_Function_Call_token8] = aux_sym_PSL_Built_In_Function_Call_token8, + [aux_sym_PSL_Built_In_Function_Call_token9] = aux_sym_PSL_Built_In_Function_Call_token9, + [aux_sym_PSL_Built_In_Function_Call_token10] = aux_sym_PSL_Built_In_Function_Call_token10, + [aux_sym_PSL_Union_Expression_token1] = aux_sym_PSL_Union_Expression_token1, + [aux_sym_PSL_Clock_Declaration_token1] = aux_sym_PSL_Clock_Declaration_token1, + [anon_sym_COLON2] = anon_sym_COLON, + [anon_sym_SEMI2] = anon_sym_SEMI, + [anon_sym_PIPE2] = anon_sym_PIPE, + [anon_sym_AMP_AMP] = anon_sym_AMP_AMP, + [aux_sym_PSL_Compound_SERE_Within_token1] = aux_sym_PSL_Compound_SERE_Within_token1, + [anon_sym_LBRACE] = anon_sym_LBRACE, + [anon_sym_RBRACE] = anon_sym_RBRACE, + [anon_sym_PLUS2] = anon_sym_PLUS, + [anon_sym_STAR2] = anon_sym_STAR, + [anon_sym_EQ3] = anon_sym_EQ, + [anon_sym_DASH_GT2] = anon_sym_DASH_GT, + [anon_sym_BANG] = anon_sym_BANG, + [anon_sym_AT2] = anon_sym_AT, + [aux_sym_PSL_Invariant_FL_Property_token1] = aux_sym_PSL_Invariant_FL_Property_token1, + [aux_sym_PSL_Invariant_FL_Property_token2] = aux_sym_PSL_Invariant_FL_Property_token2, + [aux_sym_PSL_Ocurrence_FL_Property_token1] = aux_sym_PSL_Ocurrence_FL_Property_token1, + [anon_sym_BANG2] = anon_sym_BANG, + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = aux_sym_PSL_Extended_Ocurrence_FL_Property_token1, + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = aux_sym_PSL_Extended_Ocurrence_FL_Property_token2, + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = aux_sym_PSL_Extended_Ocurrence_FL_Property_token3, + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = aux_sym_PSL_Extended_Ocurrence_FL_Property_token4, + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = aux_sym_PSL_Extended_Ocurrence_FL_Property_token5, + [aux_sym_PSL_Termination_FL_Property_token1] = aux_sym_PSL_Termination_FL_Property_token1, + [aux_sym_PSL_Termination_FL_Property_token2] = aux_sym_PSL_Termination_FL_Property_token2, + [aux_sym_PSL_Termination_FL_Property_token3] = aux_sym_PSL_Termination_FL_Property_token3, + [aux_sym_PSL_Bounding_FL_Property_token1] = aux_sym_PSL_Bounding_FL_Property_token1, + [anon_sym__] = anon_sym__, + [anon_sym_PIPE_EQ_GT] = anon_sym_PIPE_EQ_GT, + [anon_sym_PIPE_DASH_GT] = anon_sym_PIPE_DASH_GT, + [aux_sym_PSL_Property_Replicator_token1] = aux_sym_PSL_Property_Replicator_token1, + [aux_sym_boolean_token1] = aux_sym_boolean_token1, + [aux_sym_PSL_Constant_Parameter_Specification_token1] = aux_sym_PSL_Constant_Parameter_Specification_token1, + [aux_sym_PSL_HDL_Type_token1] = aux_sym_PSL_HDL_Type_token1, + [aux_sym_PSL_Type_Class_token1] = aux_sym_PSL_Type_Class_token1, + [aux_sym_PSL_Type_Class_token2] = aux_sym_PSL_Type_Class_token2, + [aux_sym_PSL_Type_Class_token3] = aux_sym_PSL_Type_Class_token3, + [aux_sym_PSL_Type_Class_token4] = aux_sym_PSL_Type_Class_token4, + [aux_sym_PSL_Assume_Directive_token1] = aux_sym_PSL_Assume_Directive_token1, + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = aux_sym_PSL_Assume_Guarantee_Directive_token1, + [aux_sym_PSL_Restrict_Directive_token1] = aux_sym_PSL_Restrict_Directive_token1, + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = aux_sym_PSL_Restrict_Guarantee_Directive_token1, + [aux_sym_PSL_Cover_Directive_token1] = aux_sym_PSL_Cover_Directive_token1, + [aux_sym_PSL_Fairness_Directive_token1] = aux_sym_PSL_Fairness_Directive_token1, + [aux_sym_PSL_Strong_Fairness_Directive_token1] = aux_sym_PSL_Strong_Fairness_Directive_token1, + [aux_sym_PSL_VProp_token1] = aux_sym_PSL_VProp_token1, + [aux_sym_PSL_VMode_token1] = aux_sym_PSL_VMode_token1, + [anon_sym_SLASH2] = anon_sym_SLASH, + [aux_sym_PSL_Inherit_Spec_token1] = aux_sym_PSL_Inherit_Spec_token1, + [sym_design_file] = sym_design_file, + [sym_entity_declaration] = sym_entity_declaration, + [sym_architecture_body] = sym_architecture_body, + [sym_configuration_declaration] = sym_configuration_declaration, + [sym_block_configuration] = sym_block_configuration, + [sym_generate_statement_element] = sym_generate_statement_element, + [sym_component_configuration] = sym_component_configuration, + [sym_procedure_declaration] = sym_procedure_declaration, + [sym_function_declaration] = sym_function_declaration, + [sym__procedure_specification] = sym__procedure_specification, + [sym__function_specification] = sym__function_specification, + [sym_return] = sym_return, + [sym__end_designator] = sym__end_designator, + [sym_procedure_parameter_clause] = sym_procedure_parameter_clause, + [sym_function_parameter_clause] = sym_function_parameter_clause, + [sym_procedure_body] = sym_procedure_body, + [sym_function_body] = sym_function_body, + [sym_procedure_instantiation_declaration] = sym_procedure_instantiation_declaration, + [sym_function_instantiation_declaration] = sym_function_instantiation_declaration, + [sym_signature] = sym_signature, + [sym_package_declaration] = sym_package_declaration, + [sym_package_body] = sym_package_body, + [sym_package_instantiation_declaration] = sym_package_instantiation_declaration, + [sym_range_constraint] = sym_range_constraint, + [sym_range_attribute_name] = sym_attribute_name, + [sym_ascending_range] = sym_ascending_range, + [sym_descending_range] = sym_descending_range, + [sym_enumeration_type_definition] = sym_enumeration_type_definition, + [sym__enumeration_literal] = sym__enumeration_literal, + [sym_physical_type_definition] = sym_physical_type_definition, + [sym_primary_unit_declaration] = sym_primary_unit_declaration, + [sym_secondary_unit_declaration] = sym_secondary_unit_declaration, + [sym__physical_literal] = sym_physical_literal, + [sym_physical_literal] = sym_physical_literal, + [sym__composite_type_definition] = sym__composite_type_definition, + [sym__array_type_definition] = sym__array_type_definition, + [sym_unbounded_array_definition] = sym_unbounded_array_definition, + [sym_constrained_array_definition] = sym_constrained_array_definition, + [sym_index_subtype_definition] = sym_index_subtype_definition, + [sym_array_constraint] = sym_array_constraint, + [sym__array_element_constraint] = sym__array_element_constraint, + [sym_index_constraint] = sym_index_constraint, + [sym_open] = sym_open, + [sym_record_type_definition] = sym_record_type_definition, + [sym_element_declaration] = sym_element_declaration, + [sym_record_constraint] = sym_record_constraint, + [sym_record_element_constraint] = sym_record_element_constraint, + [sym_identifier_list] = sym_identifier_list, + [sym_access_type_definition] = sym_access_type_definition, + [sym_incomplete_type_declaration] = sym_incomplete_type_declaration, + [sym_file_type_definition] = sym_file_type_definition, + [sym_protected_type_declaration] = sym_protected_type_declaration, + [sym_protected_type_body] = sym_protected_type_body, + [sym_declarative_part] = sym_declarative_part, + [sym__declaration] = sym__declaration, + [sym__type_declaration] = sym__type_declaration, + [sym_full_type_declaration] = sym_full_type_declaration, + [sym__type_definition] = sym__type_definition, + [sym_subtype_declaration] = sym_subtype_declaration, + [sym_subtype_indication] = sym_subtype_indication, + [sym_resolution_function] = sym_resolution_function, + [sym_parenthesized_resolution] = sym_parenthesized_resolution, + [sym_record_resolution] = sym_record_resolution, + [sym_record_element_resolution] = sym_record_element_resolution, + [sym_type_mark] = sym_type_mark, + [sym_constant_declaration] = sym_constant_declaration, + [sym_signal_declaration] = sym_signal_declaration, + [sym_signal_kind] = sym_signal_kind, + [sym_variable_declaration] = sym_variable_declaration, + [sym_shared_variable_declaration] = sym_shared_variable_declaration, + [sym_file_declaration] = sym_file_declaration, + [sym_file_open_information] = sym_file_open_information, + [sym__file_logical_name] = sym__file_logical_name, + [sym_constant_interface_declaration] = sym_constant_interface_declaration, + [sym_signal_interface_declaration] = sym_signal_interface_declaration, + [sym_variable_interface_declaration] = sym_variable_interface_declaration, + [sym_file_interface_declaration] = sym_file_interface_declaration, + [sym__in] = sym__in, + [sym__out] = sym__out, + [sym__inout] = sym__inout, + [sym__buffer] = sym__buffer, + [sym__linkage] = sym__linkage, + [sym__constant_mode] = sym__constant_mode, + [sym__variable_mode] = sym__constant_mode, + [sym__signal_mode] = sym__constant_mode, + [sym_type_interface_declaration] = sym_type_interface_declaration, + [sym_procedure_interface_declaration] = sym_procedure_interface_declaration, + [sym_function_interface_declaration] = sym_function_interface_declaration, + [sym_interface_subprogram_default] = sym_interface_subprogram_default, + [sym_package_interface_declaration] = sym_package_interface_declaration, + [sym_generic_clause] = sym_generic_clause, + [sym__semicolon] = sym__semicolon, + [sym_port_clause] = sym_port_clause, + [sym_association_list] = sym_association_list, + [sym__association_element] = sym__association_element, + [sym_positional_association_element] = sym_positional_association_element, + [sym_named_association_element] = sym_named_association_element, + [sym_generic_map_aspect] = sym_generic_map_aspect, + [sym_default] = sym_default, + [sym__any] = sym__any, + [sym_port_map_aspect] = sym_port_map_aspect, + [sym__header] = sym__header, + [sym_alias_declaration] = sym_alias_declaration, + [sym__alias_designator] = sym__alias_designator, + [sym__operator_symbol] = sym__operator_symbol, + [sym_attribute_declaration] = sym_attribute_declaration, + [sym_component_declaration] = sym_component_declaration, + [sym_group_template_declaration] = sym_group_template_declaration, + [sym_entity_class_entry_list] = sym_entity_class_entry_list, + [sym_entity_class_entry] = sym_entity_class_entry, + [sym_group_declaration] = sym_group_declaration, + [sym_group_constituent_list] = sym_group_constituent_list, + [sym_attribute_specification] = sym_attribute_specification, + [sym_entity_name_list] = sym_entity_name_list, + [sym_entity_specification] = sym_entity_specification, + [sym_entity_class] = sym_entity_class, + [sym_entity_designator] = sym_entity_designator, + [sym__entity_tag] = sym__entity_tag, + [sym_configuration_specification] = sym_configuration_specification, + [sym__component_specification] = sym__component_specification, + [sym_instantiation_list] = sym_instantiation_list, + [sym_all] = sym_all, + [sym_binding_indication] = sym_binding_indication, + [sym__entity_aspect] = sym__entity_aspect, + [sym_verification_unit_binding_indication] = sym_verification_unit_binding_indication, + [sym_verification_unit_list] = sym_verification_unit_list, + [sym_disconnection_specification] = sym_disconnection_specification, + [sym_guarded_signal_specification] = sym_guarded_signal_specification, + [sym_signal_list] = sym_signal_list, + [sym__simple_name] = sym__simple_name, + [sym__end_simple_name] = sym__end_simple_name, + [sym_selected_name] = sym_selected_name, + [sym_ambiguous_name] = sym_ambiguous_name, + [sym_expression_list] = sym_expression_list, + [sym_slice_name] = sym_slice_name, + [sym_attribute_name] = sym_attribute_name, + [sym__attribute_designator] = sym__attribute_designator, + [sym__predefined_attribute_designator] = sym__predefined_attribute_designator, + [sym__predefined_attribute_designator_with_expression] = sym__predefined_attribute_designator_with_expression, + [sym__predefined_designator] = sym__predefined_designator, + [sym__predefined_designator_with_expression] = sym__predefined_designator, + [sym__range_designator] = sym__predefined_designator, + [sym_external_constant_name] = sym_external_constant_name, + [sym_external_signal_name] = sym_external_signal_name, + [sym_external_variable_name] = sym_external_variable_name, + [sym_package_pathname] = sym_package_pathname, + [sym_absolute_pathname] = sym_absolute_pathname, + [sym_relative_pathname] = sym_relative_pathname, + [sym__partial_pathname] = sym__partial_pathname, + [sym_pathname_element] = sym_pathname_element, + [sym__expr] = sym__expr, + [sym__unary_expression] = sym__unary_expression, + [sym__binary_expression] = sym__binary_expression, + [sym__primary] = sym__primary, + [sym_default_expression] = sym_default_expression, + [sym_inertial_expression] = sym_inertial_expression, + [sym_parenthesized_expression] = sym_parenthesized_expression, + [sym_condition] = sym_condition, + [sym_reduction] = sym_reduction, + [sym_factor] = sym_factor, + [sym_sign] = sym_sign, + [sym_logical_expression] = sym_logical_expression, + [sym_relation] = sym_relation, + [sym_shift_expression] = sym_shift_expression, + [sym_simple_expression] = sym_simple_expression, + [sym_concatenation] = sym_concatenation, + [sym_term] = sym_term, + [sym_exponentiation] = sym_exponentiation, + [sym_null] = sym_null, + [sym_aggregate] = sym_aggregate, + [sym__element_association_list] = sym__element_association_list, + [sym_positional_element_association] = sym_positional_element_association, + [sym_named_element_association] = sym_named_element_association, + [sym_choices] = sym_choices, + [sym_others] = sym_others, + [sym_function_call] = sym_function_call, + [sym_qualified_expression] = sym_qualified_expression, + [sym_allocator] = sym_allocator, + [sym_sequence_of_statements] = sym_sequence_of_statements, + [sym__sequential_statement] = sym__sequential_statement, + [sym_wait_statement] = sym_wait_statement, + [sym_sensitivity_list] = sym_sensitivity_list, + [sym_assertion_statement] = sym_assertion_statement, + [sym_report_statement] = sym_report_statement, + [sym__report] = sym__report, + [sym__severity] = sym__severity, + [sym_simple_waveform_assignment] = sym_simple_waveform_assignment, + [sym_simple_force_assignment] = sym_simple_force_assignment, + [sym_simple_release_assignment] = sym_simple_release_assignment, + [sym_force_mode] = sym_force_mode, + [sym_delay_mechanism] = sym_delay_mechanism, + [sym_transport] = sym_transport, + [sym_inertial] = sym_inertial, + [sym__reject] = sym__reject, + [sym__target] = sym__target, + [sym_waveforms] = sym_waveforms, + [sym_unaffected] = sym_unaffected, + [sym_waveform_element] = sym_waveform_element, + [sym__after] = sym__after, + [sym_conditional_waveform_assignment] = sym_conditional_waveform_assignment, + [sym__when_clause] = sym__when_clause, + [sym_conditional_waveforms] = sym_conditional_waveforms, + [sym_alternative_conditional_waveforms] = sym_alternative_conditional_waveforms, + [sym_conditional_force_assignment] = sym_conditional_force_assignment, + [sym_conditional_expressions] = sym_conditional_expressions, + [sym_alternative_conditional_expressions] = sym_alternative_conditional_expressions, + [sym_selected_waveform_assignment] = sym_selected_waveform_assignment, + [sym_selected_force_assignment] = sym_selected_force_assignment, + [sym_selected_waveforms] = sym_selected_waveforms, + [sym_alternative_selected_waveforms] = sym_alternative_selected_waveforms, + [sym_selected_expressions] = sym_selected_expressions, + [sym_alternative_selected_expressions] = sym_alternative_selected_expressions, + [sym_simple_variable_assignment] = sym_simple_variable_assignment, + [sym_conditional_variable_assignment] = sym_conditional_variable_assignment, + [sym_selected_variable_assignment] = sym_selected_variable_assignment, + [sym_procedure_call_statement] = sym_procedure_call_statement, + [sym_if_statement] = sym_if_statement, + [sym_if] = sym_if, + [sym_elsif] = sym_elsif, + [sym_else] = sym_else, + [sym_case_statement] = sym_case_statement, + [sym_case_statement_alternative] = sym_case_statement_alternative, + [sym_loop_statement] = sym_loop_statement, + [sym_while_loop] = sym_while_loop, + [sym_for_loop] = sym_for_loop, + [sym_parameter_specification] = sym_parameter_specification, + [sym_next_statement] = sym_next_statement, + [sym__loop_label] = sym__loop_label, + [sym_exit_statement] = sym_exit_statement, + [sym_return_statement] = sym_return_statement, + [sym_null_statement] = sym_null_statement, + [sym_concurrent_statement_part] = sym_concurrent_statement_part, + [sym__concurrent_statement] = sym__concurrent_statement, + [sym_block_statement] = sym_block_statement, + [sym__guard] = sym__guard, + [sym_process_statement] = sym_process_statement, + [sym_component_instantiation_statement] = sym_component_instantiation_statement, + [sym_entity_instantiation] = sym_entity_instantiation, + [sym_configuration_instantiation] = sym_configuration_instantiation, + [sym_component_instantiation] = sym_component_instantiation, + [sym_for_generate_statement] = sym_for_generate_statement, + [sym_if_generate_statement] = sym_if_generate_statement, + [sym_if_generate] = sym_if_generate, + [sym_elsif_generate] = sym_elsif_generate, + [sym_else_generate] = sym_else_generate, + [sym_case_generate_statement] = sym_case_generate_statement, + [sym_case_generate_alternative] = sym_case_generate_alternative, + [sym_generate_statement_body] = sym_generate_statement_body, + [sym_label] = sym_label, + [sym_use_clause] = sym_use_clause, + [sym_design_unit] = sym_design_unit, + [sym__primary_unit] = sym__primary_unit, + [sym__secondary_unit] = sym__secondary_unit, + [sym_library_clause] = sym_library_clause, + [sym_logical_name_list] = sym_logical_name_list, + [sym_context_declaration] = sym_context_declaration, + [sym_context_clause] = sym_context_clause, + [sym__context_item] = sym__context_item, + [sym_context_reference] = sym_context_reference, + [sym_context_list] = sym_context_list, + [sym_character_literal] = sym_character_literal, + [sym_string_literal] = sym_string_literal, + [sym_bit_string_literal] = sym_bit_string_literal, + [sym__PSL_Number] = sym__PSL_Number, + [sym_PSL_Expression] = sym_PSL_Expression, + [sym_PSL_Built_In_Function_Call] = sym_PSL_Built_In_Function_Call, + [sym_PSL_Union_Expression] = sym_PSL_Union_Expression, + [sym__PSL_Declaration] = sym__PSL_Declaration, + [sym_PSL_Clock_Declaration] = sym_PSL_Clock_Declaration, + [sym__PSL_SERE] = sym__PSL_SERE, + [sym_PSL_Simple_SERE] = sym_PSL_Simple_SERE, + [sym__PSL_Fusion_SERE] = sym__PSL_Fusion_SERE, + [sym__PSL_Concat_SERE] = sym__PSL_Concat_SERE, + [sym__PSL_Compound_SERE] = sym__PSL_Compound_SERE, + [sym_PSL_Compound_SERE_And] = sym_PSL_Compound_SERE_And, + [sym_PSL_Compound_SERE_Within] = sym_PSL_Compound_SERE_And, + [sym_PSL_Parameterized_SERE] = sym_PSL_Parameterized_SERE, + [sym_PSL_Parameters_Definition] = sym_PSL_Parameters_Definition, + [sym_PSL_Parameter_Specification] = sym_PSL_Parameter_Specification, + [sym__PSL_Sequence] = sym__PSL_Sequence, + [sym_PSL_Repeated_SERE] = sym_PSL_Repeated_SERE, + [sym_PSL_Count] = sym_PSL_Count, + [sym__PSL_Range] = sym__PSL_Range, + [sym_PSL_Braced_SERE] = sym_PSL_Braced_SERE, + [sym_PSL_Clocked_SERE] = sym_PSL_Clocked_SERE, + [sym_PSL_Parenthesized_FL_Property] = sym_PSL_Parenthesized_FL_Property, + [sym_PSL_Sequential_FL_Property] = sym_PSL_Sequential_FL_Property, + [sym_PSL_Clocked_FL_Property] = sym_PSL_Clocked_FL_Property, + [sym_PSL_Invariant_FL_Property] = sym_PSL_Invariant_FL_Property, + [sym_PSL_Ocurrence_FL_Property] = sym_PSL_Ocurrence_FL_Property, + [sym_PSL_Implication_FL_Property] = sym_PSL_Implication_FL_Property, + [sym_PSL_Logical_FL_Property] = sym_PSL_Logical_FL_Property, + [sym_PSL_Factor_FL_Property] = sym_PSL_Factor_FL_Property, + [sym_PSL_Extended_Ocurrence_FL_Property] = sym_PSL_Extended_Ocurrence_FL_Property, + [sym__PSL_Extended_Ocurrence_argument] = sym__PSL_Extended_Ocurrence_argument, + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification, + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification, + [sym_PSL_Termination_FL_Property] = sym_PSL_Termination_FL_Property, + [sym_PSL_Bounding_FL_Property] = sym_PSL_Bounding_FL_Property, + [sym_PSL_Suffix_Implication_FL_Property] = sym_PSL_Suffix_Implication_FL_Property, + [sym_PSL_Parameterized_Property] = sym_PSL_Parameterized_Property, + [sym_PSL_Property_Replicator] = sym_PSL_Property_Replicator, + [sym_PSL_Index_Range] = sym_PSL_Index_Range, + [sym_PSL_Value_Set] = sym_PSL_Value_Set, + [sym_boolean] = sym_boolean, + [sym__PSL_Value_Range] = sym__PSL_Value_Range, + [sym_PSL_Property_Declaration] = sym_PSL_Property_Declaration, + [sym_PSL_Sequence_Declaration] = sym_PSL_Sequence_Declaration, + [sym_PSL_Formal_Parameter_List] = sym_PSL_Formal_Parameter_List, + [sym_PSL_Formal_Parameter] = sym_PSL_Formal_Parameter, + [sym__PSL_Parameter_Specification] = sym__PSL_Parameter_Specification, + [sym_PSL_Constant_Parameter_Specification] = sym_PSL_Constant_Parameter_Specification, + [sym_PSL_Temporal_Parameter_Specification] = sym_PSL_Temporal_Parameter_Specification, + [sym_PSL_HDL_Type] = sym_PSL_HDL_Type, + [sym_PSL_Type_Class] = sym_PSL_Type_Class, + [sym_PSL_Instance] = sym_PSL_Instance, + [sym__PSL_Ambiguous_Instance] = sym__PSL_Ambiguous_Instance, + [sym__PSL_Sequence_Instance] = sym__PSL_Sequence_Instance, + [sym__PSL_Property_Instance] = sym__PSL_Property_Instance, + [sym_PSL_Actual_Parameter_List] = sym_PSL_Actual_Parameter_List, + [sym_PSL_Actual_Parameter] = sym_PSL_Actual_Parameter, + [sym__PSL_Directive] = sym__PSL_Directive, + [sym_PSL_Assert_Directive] = sym_PSL_Assert_Directive, + [sym_PSL_Assume_Directive] = sym_PSL_Assume_Directive, + [sym_PSL_Assume_Guarantee_Directive] = sym_PSL_Assume_Guarantee_Directive, + [sym_PSL_Restrict_Directive] = sym_PSL_Restrict_Directive, + [sym_PSL_Restrict_Guarantee_Directive] = sym_PSL_Restrict_Guarantee_Directive, + [sym_PSL_Cover_Directive] = sym_PSL_Cover_Directive, + [sym_PSL_Fairness_Directive] = sym_PSL_Fairness_Directive, + [sym_PSL_Strong_Fairness_Directive] = sym_PSL_Strong_Fairness_Directive, + [sym__PSL_Verification_Unit] = sym__PSL_Verification_Unit, + [sym_PSL_VUnit] = sym_PSL_VUnit, + [sym_PSL_VProp] = sym_PSL_VProp, + [sym_PSL_VMode] = sym_PSL_VMode, + [sym_PSL_Verification_Unit_Body] = sym_PSL_Verification_Unit_Body, + [sym_PSL_Hierarchical_HDL_Name] = sym_PSL_Hierarchical_HDL_Name, + [sym_PSL_Inherit_Spec] = sym_PSL_Inherit_Spec, + [sym__PSL_VUnit_Item] = sym__PSL_VUnit_Item, + [aux_sym_design_file_repeat1] = aux_sym_design_file_repeat1, + [aux_sym_configuration_declaration_repeat1] = aux_sym_configuration_declaration_repeat1, + [aux_sym_block_configuration_repeat1] = aux_sym_block_configuration_repeat1, + [aux_sym_block_configuration_repeat2] = aux_sym_block_configuration_repeat2, + [aux_sym_signature_repeat1] = aux_sym_signature_repeat1, + [aux_sym_enumeration_type_definition_repeat1] = aux_sym_enumeration_type_definition_repeat1, + [aux_sym_physical_type_definition_repeat1] = aux_sym_physical_type_definition_repeat1, + [aux_sym_unbounded_array_definition_repeat1] = aux_sym_unbounded_array_definition_repeat1, + [aux_sym_index_constraint_repeat1] = aux_sym_index_constraint_repeat1, + [aux_sym_record_type_definition_repeat1] = aux_sym_record_type_definition_repeat1, + [aux_sym_record_constraint_repeat1] = aux_sym_record_constraint_repeat1, + [aux_sym_identifier_list_repeat1] = aux_sym_identifier_list_repeat1, + [aux_sym_declarative_part_repeat1] = aux_sym_declarative_part_repeat1, + [aux_sym_record_resolution_repeat1] = aux_sym_record_resolution_repeat1, + [aux_sym__generic_interface_list_repeat1] = aux_sym__generic_interface_list_repeat1, + [aux_sym__port_interface_list_repeat1] = aux_sym__port_interface_list_repeat1, + [aux_sym__procedure_parameter_list_repeat1] = aux_sym__procedure_parameter_list_repeat1, + [aux_sym__function_parameter_list_repeat1] = aux_sym__function_parameter_list_repeat1, + [aux_sym_association_list_repeat1] = aux_sym_association_list_repeat1, + [aux_sym_entity_class_entry_list_repeat1] = aux_sym_entity_class_entry_list_repeat1, + [aux_sym_group_constituent_list_repeat1] = aux_sym_group_constituent_list_repeat1, + [aux_sym_entity_name_list_repeat1] = aux_sym_entity_name_list_repeat1, + [aux_sym_instantiation_list_repeat1] = aux_sym_instantiation_list_repeat1, + [aux_sym_verification_unit_list_repeat1] = aux_sym_verification_unit_list_repeat1, + [aux_sym_signal_list_repeat1] = aux_sym_signal_list_repeat1, + [aux_sym_expression_list_repeat1] = aux_sym_expression_list_repeat1, + [aux_sym_package_pathname_repeat1] = aux_sym_package_pathname_repeat1, + [aux_sym_relative_pathname_repeat1] = aux_sym_relative_pathname_repeat1, + [aux_sym__partial_pathname_repeat1] = aux_sym__partial_pathname_repeat1, + [aux_sym_logical_expression_repeat1] = aux_sym_logical_expression_repeat1, + [aux_sym_logical_expression_repeat2] = aux_sym_logical_expression_repeat2, + [aux_sym_logical_expression_repeat3] = aux_sym_logical_expression_repeat3, + [aux_sym_logical_expression_repeat4] = aux_sym_logical_expression_repeat4, + [aux_sym_simple_expression_repeat1] = aux_sym_simple_expression_repeat1, + [aux_sym_concatenation_repeat1] = aux_sym_concatenation_repeat1, + [aux_sym_term_repeat1] = aux_sym_term_repeat1, + [aux_sym__element_association_list_repeat1] = aux_sym__element_association_list_repeat1, + [aux_sym_choices_repeat1] = aux_sym_choices_repeat1, + [aux_sym_sequence_of_statements_repeat1] = aux_sym_sequence_of_statements_repeat1, + [aux_sym_waveforms_repeat1] = aux_sym_waveforms_repeat1, + [aux_sym_conditional_waveforms_repeat1] = aux_sym_conditional_waveforms_repeat1, + [aux_sym_conditional_expressions_repeat1] = aux_sym_conditional_expressions_repeat1, + [aux_sym_selected_waveforms_repeat1] = aux_sym_selected_waveforms_repeat1, + [aux_sym_selected_expressions_repeat1] = aux_sym_selected_expressions_repeat1, + [aux_sym_if_statement_repeat1] = aux_sym_if_statement_repeat1, + [aux_sym_case_statement_repeat1] = aux_sym_case_statement_repeat1, + [aux_sym_concurrent_statement_part_repeat1] = aux_sym_concurrent_statement_part_repeat1, + [aux_sym_if_generate_statement_repeat1] = aux_sym_if_generate_statement_repeat1, + [aux_sym_case_generate_statement_repeat1] = aux_sym_case_generate_statement_repeat1, + [aux_sym_use_clause_repeat1] = aux_sym_use_clause_repeat1, + [aux_sym_logical_name_list_repeat1] = aux_sym_logical_name_list_repeat1, + [aux_sym_context_clause_repeat1] = aux_sym_context_clause_repeat1, + [aux_sym_PSL_Built_In_Function_Call_repeat1] = aux_sym_PSL_Built_In_Function_Call_repeat1, + [aux_sym__PSL_Fusion_SERE_repeat1] = aux_sym__PSL_Fusion_SERE_repeat1, + [aux_sym__PSL_Concat_SERE_repeat1] = aux_sym__PSL_Concat_SERE_repeat1, + [aux_sym_PSL_Compound_SERE_And_repeat1] = aux_sym_PSL_Compound_SERE_And_repeat1, + [aux_sym_PSL_Compound_SERE_Within_repeat1] = aux_sym_PSL_Compound_SERE_Within_repeat1, + [aux_sym_PSL_Parameters_Definition_repeat1] = aux_sym_PSL_Parameters_Definition_repeat1, + [aux_sym_PSL_Clocked_FL_Property_repeat1] = aux_sym_PSL_Clocked_FL_Property_repeat1, + [aux_sym_PSL_Implication_FL_Property_repeat1] = aux_sym_PSL_Implication_FL_Property_repeat1, + [aux_sym_PSL_Logical_FL_Property_repeat1] = aux_sym_PSL_Logical_FL_Property_repeat1, + [aux_sym_PSL_Value_Set_repeat1] = aux_sym_PSL_Value_Set_repeat1, + [aux_sym_PSL_Formal_Parameter_List_repeat1] = aux_sym_PSL_Formal_Parameter_List_repeat1, + [aux_sym_PSL_Formal_Parameter_repeat1] = aux_sym_PSL_Formal_Parameter_repeat1, + [aux_sym_PSL_Actual_Parameter_List_repeat1] = aux_sym_PSL_Actual_Parameter_List_repeat1, + [aux_sym_PSL_Verification_Unit_Body_repeat1] = aux_sym_PSL_Verification_Unit_Body_repeat1, + [aux_sym_PSL_Verification_Unit_Body_repeat2] = aux_sym_PSL_Verification_Unit_Body_repeat2, + [aux_sym_PSL_Hierarchical_HDL_Name_repeat1] = aux_sym_PSL_Hierarchical_HDL_Name_repeat1, + [aux_sym_PSL_Inherit_Spec_repeat1] = aux_sym_PSL_Inherit_Spec_repeat1, + [alias_sym_PSL_Any_Type] = alias_sym_PSL_Any_Type, + [alias_sym_PSL_Boolean] = alias_sym_PSL_Boolean, + [alias_sym_PSL_Identifier] = alias_sym_PSL_Identifier, + [alias_sym_PSL_Number] = alias_sym_PSL_Number, + [alias_sym_PSL_Property_Instance] = alias_sym_PSL_Property_Instance, + [alias_sym_PSL_Sequence_Instance] = alias_sym_PSL_Sequence_Instance, + [alias_sym_any] = alias_sym_any, + [alias_sym_array_element_constraint] = alias_sym_array_element_constraint, + [alias_sym_block_header] = alias_sym_block_header, + [alias_sym_block_specification] = alias_sym_block_specification, + [alias_sym_component_header] = alias_sym_component_header, + [alias_sym_component_map_aspect] = alias_sym_component_map_aspect, + [alias_sym_conditional_concurrent_signal_assignment] = alias_sym_conditional_concurrent_signal_assignment, + [alias_sym_conditional_expression] = alias_sym_conditional_expression, + [alias_sym_entity_header] = alias_sym_entity_header, + [alias_sym_expression] = alias_sym_expression, + [alias_sym_extended_simple_name] = alias_sym_extended_simple_name, + [alias_sym_file_open_kind] = alias_sym_file_open_kind, + [alias_sym_identifier] = alias_sym_identifier, + [alias_sym_numeric_type_definition] = alias_sym_numeric_type_definition, + [alias_sym_operator_symbol] = alias_sym_operator_symbol, + [alias_sym_package_header] = alias_sym_package_header, + [alias_sym_package_map_aspect] = alias_sym_package_map_aspect, + [alias_sym_selected_concurrent_signal_assignment] = alias_sym_selected_concurrent_signal_assignment, + [alias_sym_semicolon] = alias_sym_semicolon, + [alias_sym_severity_expression] = alias_sym_severity_expression, + [alias_sym_simple_concurrent_signal_assignment] = alias_sym_simple_concurrent_signal_assignment, + [alias_sym_string_expression] = alias_sym_string_expression, + [alias_sym_subprogram_header] = alias_sym_subprogram_header, + [alias_sym_subprogram_map_aspect] = alias_sym_subprogram_map_aspect, + [alias_sym_time_expression] = alias_sym_time_expression, +}; + +static const TSSymbolMetadata ts_symbol_metadata[] = { + [ts_builtin_sym_end] = { + .visible = false, + .named = true, + }, + [sym_basic_identifier] = { + .visible = true, + .named = true, + }, + [aux_sym_entity_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_entity_declaration_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_entity_declaration_token3] = { + .visible = true, + .named = false, + }, + [aux_sym_entity_declaration_token4] = { + .visible = true, + .named = false, + }, + [anon_sym_SEMI] = { + .visible = true, + .named = false, + }, + [aux_sym_architecture_body_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_architecture_body_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_configuration_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_block_configuration_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_LPAREN] = { + .visible = true, + .named = false, + }, + [anon_sym_RPAREN] = { + .visible = true, + .named = false, + }, + [aux_sym__procedure_specification_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__procedure_specification_token2] = { + .visible = true, + .named = false, + }, + [aux_sym__procedure_specification_token3] = { + .visible = true, + .named = false, + }, + [aux_sym__function_specification_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_COMMA] = { + .visible = true, + .named = false, + }, + [aux_sym_return_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_procedure_parameter_clause_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_procedure_instantiation_declaration_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_LBRACK] = { + .visible = true, + .named = false, + }, + [anon_sym_RBRACK] = { + .visible = true, + .named = false, + }, + [aux_sym_package_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_package_body_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_range_constraint_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_ascending_range_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_descending_range_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_physical_type_definition_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_EQ] = { + .visible = true, + .named = false, + }, + [aux_sym_unbounded_array_definition_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_open_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_record_type_definition_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_COLON] = { + .visible = true, + .named = false, + }, + [aux_sym_access_type_definition_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_incomplete_type_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_file_type_definition_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_protected_type_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_subtype_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_constant_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_signal_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_signal_kind_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_signal_kind_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_variable_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_shared_variable_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__in_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__out_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__inout_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__buffer_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__linkage_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_LT_GT] = { + .visible = true, + .named = true, + }, + [aux_sym_generic_clause_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_port_clause_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_EQ_GT] = { + .visible = true, + .named = false, + }, + [aux_sym_generic_map_aspect_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_default_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_alias_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_attribute_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_component_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_group_template_declaration_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_entity_class_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_entity_class_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_entity_class_token3] = { + .visible = true, + .named = false, + }, + [aux_sym_entity_class_token4] = { + .visible = true, + .named = false, + }, + [aux_sym_all_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_binding_indication_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_verification_unit_binding_indication_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_disconnection_specification_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_DOT] = { + .visible = true, + .named = false, + }, + [anon_sym_SQUOTE] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token2] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token3] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token4] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token5] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token6] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token7] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token8] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token9] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token10] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token11] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token12] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token13] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token14] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token15] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token16] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token17] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token18] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token19] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token20] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token21] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token22] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token23] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token24] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token25] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token26] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token27] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token28] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token29] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_token30] = { + .visible = true, + .named = false, + }, + [aux_sym__predefined_designator_with_expression_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__range_designator_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_LT_LT] = { + .visible = true, + .named = false, + }, + [anon_sym_GT_GT] = { + .visible = true, + .named = false, + }, + [anon_sym_AT] = { + .visible = true, + .named = false, + }, + [anon_sym_DOT2] = { + .visible = true, + .named = false, + }, + [anon_sym_CARET_DOT] = { + .visible = true, + .named = false, + }, + [anon_sym_COLON_EQ] = { + .visible = true, + .named = false, + }, + [aux_sym_inertial_expression_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_QMARK_QMARK] = { + .visible = true, + .named = false, + }, + [aux_sym_reduction_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_reduction_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_reduction_token3] = { + .visible = true, + .named = false, + }, + [aux_sym_reduction_token4] = { + .visible = true, + .named = false, + }, + [aux_sym_reduction_token5] = { + .visible = true, + .named = false, + }, + [aux_sym_reduction_token6] = { + .visible = true, + .named = false, + }, + [aux_sym_factor_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_factor_token2] = { + .visible = true, + .named = false, + }, + [anon_sym_PLUS] = { + .visible = true, + .named = false, + }, + [anon_sym_DASH] = { + .visible = true, + .named = false, + }, + [anon_sym_LT] = { + .visible = true, + .named = false, + }, + [anon_sym_GT] = { + .visible = true, + .named = false, + }, + [anon_sym_EQ2] = { + .visible = true, + .named = false, + }, + [anon_sym_LT_EQ] = { + .visible = true, + .named = false, + }, + [anon_sym_GT_EQ] = { + .visible = true, + .named = false, + }, + [anon_sym_SLASH_EQ] = { + .visible = true, + .named = false, + }, + [anon_sym_QMARK_LT] = { + .visible = true, + .named = false, + }, + [anon_sym_QMARK_GT] = { + .visible = true, + .named = false, + }, + [anon_sym_QMARK_EQ] = { + .visible = true, + .named = false, + }, + [anon_sym_QMARK_LT_EQ] = { + .visible = true, + .named = false, + }, + [anon_sym_QMARK_GT_EQ] = { + .visible = true, + .named = false, + }, + [anon_sym_QMARK_SLASH_EQ] = { + .visible = true, + .named = false, + }, + [aux_sym_shift_expression_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_shift_expression_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_shift_expression_token3] = { + .visible = true, + .named = false, + }, + [aux_sym_shift_expression_token4] = { + .visible = true, + .named = false, + }, + [aux_sym_shift_expression_token5] = { + .visible = true, + .named = false, + }, + [aux_sym_shift_expression_token6] = { + .visible = true, + .named = false, + }, + [anon_sym_AMP] = { + .visible = true, + .named = false, + }, + [anon_sym_STAR] = { + .visible = true, + .named = false, + }, + [anon_sym_SLASH] = { + .visible = true, + .named = false, + }, + [aux_sym_term_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_term_token2] = { + .visible = true, + .named = false, + }, + [anon_sym_STAR_STAR] = { + .visible = true, + .named = false, + }, + [aux_sym_null_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_PIPE] = { + .visible = true, + .named = false, + }, + [aux_sym_others_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_wait_statement_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__sensitivity_clause_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__condition_clause_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_assertion_statement_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_assertion_statement_token2] = { + .visible = true, + .named = false, + }, + [aux_sym__report_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__severity_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_LT_EQ2] = { + .visible = true, + .named = false, + }, + [aux_sym_simple_waveform_assignment_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_simple_force_assignment_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_simple_release_assignment_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_transport_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__reject_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_unaffected_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__after_token1] = { + .visible = true, + .named = false, + }, + [aux_sym__when_clause_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_alternative_conditional_waveforms_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_selected_waveform_assignment_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_selected_waveform_assignment_token2] = { + .visible = true, + .named = false, + }, + [anon_sym_QMARK] = { + .visible = true, + .named = false, + }, + [aux_sym_if_statement_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_if_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_elsif_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_case_statement_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_loop_statement_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_while_loop_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_next_statement_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_exit_statement_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_block_statement_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_process_statement_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_for_generate_statement_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_library_clause_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_context_declaration_token1] = { + .visible = true, + .named = false, + }, + [sym_extended_identifier] = { + .visible = true, + .named = true, + }, + [sym_integer_decimal] = { + .visible = true, + .named = true, + }, + [sym_real_decimal] = { + .visible = true, + .named = true, + }, + [sym_based_integer] = { + .visible = true, + .named = true, + }, + [sym_based_real] = { + .visible = true, + .named = true, + }, + [aux_sym_character_literal_token1] = { + .visible = false, + .named = false, + }, + [aux_sym_character_literal_token2] = { + .visible = false, + .named = false, + }, + [aux_sym_string_literal_token1] = { + .visible = false, + .named = false, + }, + [aux_sym_string_literal_token2] = { + .visible = false, + .named = false, + }, + [anon_sym_DQUOTE] = { + .visible = true, + .named = false, + }, + [aux_sym_bit_string_literal_token1] = { + .visible = false, + .named = false, + }, + [aux_sym_bit_string_literal_token2] = { + .visible = false, + .named = false, + }, + [aux_sym_bit_string_literal_token3] = { + .visible = false, + .named = false, + }, + [aux_sym_bit_string_literal_token4] = { + .visible = false, + .named = false, + }, + [sym_comment] = { + .visible = true, + .named = true, + }, + [sym_tool_directive] = { + .visible = true, + .named = true, + }, + [anon_sym_DASH_GT] = { + .visible = true, + .named = false, + }, + [anon_sym_LT_DASH_GT] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Built_In_Function_Call_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Built_In_Function_Call_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Built_In_Function_Call_token3] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Built_In_Function_Call_token4] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Built_In_Function_Call_token5] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Built_In_Function_Call_token6] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Built_In_Function_Call_token7] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Built_In_Function_Call_token8] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Built_In_Function_Call_token9] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Built_In_Function_Call_token10] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Union_Expression_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Clock_Declaration_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_COLON2] = { + .visible = true, + .named = false, + }, + [anon_sym_SEMI2] = { + .visible = true, + .named = false, + }, + [anon_sym_PIPE2] = { + .visible = true, + .named = false, + }, + [anon_sym_AMP_AMP] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Compound_SERE_Within_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_LBRACE] = { + .visible = true, + .named = false, + }, + [anon_sym_RBRACE] = { + .visible = true, + .named = false, + }, + [anon_sym_PLUS2] = { + .visible = true, + .named = false, + }, + [anon_sym_STAR2] = { + .visible = true, + .named = false, + }, + [anon_sym_EQ3] = { + .visible = true, + .named = false, + }, + [anon_sym_DASH_GT2] = { + .visible = true, + .named = false, + }, + [anon_sym_BANG] = { + .visible = true, + .named = false, + }, + [anon_sym_AT2] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Invariant_FL_Property_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Invariant_FL_Property_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Ocurrence_FL_Property_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_BANG2] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Termination_FL_Property_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Termination_FL_Property_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Termination_FL_Property_token3] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Bounding_FL_Property_token1] = { + .visible = true, + .named = false, + }, + [anon_sym__] = { + .visible = true, + .named = false, + }, + [anon_sym_PIPE_EQ_GT] = { + .visible = true, + .named = false, + }, + [anon_sym_PIPE_DASH_GT] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Property_Replicator_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_boolean_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Constant_Parameter_Specification_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_HDL_Type_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Type_Class_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Type_Class_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Type_Class_token3] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Type_Class_token4] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Assume_Directive_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Restrict_Directive_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Cover_Directive_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Fairness_Directive_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Strong_Fairness_Directive_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_VProp_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_VMode_token1] = { + .visible = true, + .named = false, + }, + [anon_sym_SLASH2] = { + .visible = true, + .named = false, + }, + [aux_sym_PSL_Inherit_Spec_token1] = { + .visible = true, + .named = false, + }, + [sym_design_file] = { + .visible = true, + .named = true, + }, + [sym_entity_declaration] = { + .visible = true, + .named = true, + }, + [sym_architecture_body] = { + .visible = true, + .named = true, + }, + [sym_configuration_declaration] = { + .visible = true, + .named = true, + }, + [sym_block_configuration] = { + .visible = true, + .named = true, + }, + [sym_generate_statement_element] = { + .visible = true, + .named = true, + }, + [sym_component_configuration] = { + .visible = true, + .named = true, + }, + [sym_procedure_declaration] = { + .visible = true, + .named = true, + }, + [sym_function_declaration] = { + .visible = true, + .named = true, + }, + [sym__procedure_specification] = { + .visible = false, + .named = true, + }, + [sym__function_specification] = { + .visible = false, + .named = true, + }, + [sym_return] = { + .visible = true, + .named = true, + }, + [sym__end_designator] = { + .visible = false, + .named = true, + }, + [sym_procedure_parameter_clause] = { + .visible = true, + .named = true, + }, + [sym_function_parameter_clause] = { + .visible = true, + .named = true, + }, + [sym_procedure_body] = { + .visible = true, + .named = true, + }, + [sym_function_body] = { + .visible = true, + .named = true, + }, + [sym_procedure_instantiation_declaration] = { + .visible = true, + .named = true, + }, + [sym_function_instantiation_declaration] = { + .visible = true, + .named = true, + }, + [sym_signature] = { + .visible = true, + .named = true, + }, + [sym_package_declaration] = { + .visible = true, + .named = true, + }, + [sym_package_body] = { + .visible = true, + .named = true, + }, + [sym_package_instantiation_declaration] = { + .visible = true, + .named = true, + }, + [sym_range_constraint] = { + .visible = true, + .named = true, + }, + [sym_range_attribute_name] = { + .visible = true, + .named = true, + }, + [sym_ascending_range] = { + .visible = true, + .named = true, + }, + [sym_descending_range] = { + .visible = true, + .named = true, + }, + [sym_enumeration_type_definition] = { + .visible = true, + .named = true, + }, + [sym__enumeration_literal] = { + .visible = false, + .named = true, + }, + [sym_physical_type_definition] = { + .visible = true, + .named = true, + }, + [sym_primary_unit_declaration] = { + .visible = true, + .named = true, + }, + [sym_secondary_unit_declaration] = { + .visible = true, + .named = true, + }, + [sym__physical_literal] = { + .visible = true, + .named = true, + }, + [sym_physical_literal] = { + .visible = true, + .named = true, + }, + [sym__composite_type_definition] = { + .visible = false, + .named = true, + }, + [sym__array_type_definition] = { + .visible = false, + .named = true, + }, + [sym_unbounded_array_definition] = { + .visible = true, + .named = true, + }, + [sym_constrained_array_definition] = { + .visible = true, + .named = true, + }, + [sym_index_subtype_definition] = { + .visible = true, + .named = true, + }, + [sym_array_constraint] = { + .visible = true, + .named = true, + }, + [sym__array_element_constraint] = { + .visible = false, + .named = true, + }, + [sym_index_constraint] = { + .visible = true, + .named = true, + }, + [sym_open] = { + .visible = true, + .named = true, + }, + [sym_record_type_definition] = { + .visible = true, + .named = true, + }, + [sym_element_declaration] = { + .visible = true, + .named = true, + }, + [sym_record_constraint] = { + .visible = true, + .named = true, + }, + [sym_record_element_constraint] = { + .visible = true, + .named = true, + }, + [sym_identifier_list] = { + .visible = true, + .named = true, + }, + [sym_access_type_definition] = { + .visible = true, + .named = true, + }, + [sym_incomplete_type_declaration] = { + .visible = true, + .named = true, + }, + [sym_file_type_definition] = { + .visible = true, + .named = true, + }, + [sym_protected_type_declaration] = { + .visible = true, + .named = true, + }, + [sym_protected_type_body] = { + .visible = true, + .named = true, + }, + [sym_declarative_part] = { + .visible = true, + .named = true, + }, + [sym__declaration] = { + .visible = false, + .named = true, + }, + [sym__type_declaration] = { + .visible = false, + .named = true, + }, + [sym_full_type_declaration] = { + .visible = true, + .named = true, + }, + [sym__type_definition] = { + .visible = false, + .named = true, + }, + [sym_subtype_declaration] = { + .visible = true, + .named = true, + }, + [sym_subtype_indication] = { + .visible = true, + .named = true, + }, + [sym_resolution_function] = { + .visible = true, + .named = true, + }, + [sym_parenthesized_resolution] = { + .visible = true, + .named = true, + }, + [sym_record_resolution] = { + .visible = true, + .named = true, + }, + [sym_record_element_resolution] = { + .visible = true, + .named = true, + }, + [sym_type_mark] = { + .visible = true, + .named = true, + }, + [sym_constant_declaration] = { + .visible = true, + .named = true, + }, + [sym_signal_declaration] = { + .visible = true, + .named = true, + }, + [sym_signal_kind] = { + .visible = true, + .named = true, + }, + [sym_variable_declaration] = { + .visible = true, + .named = true, + }, + [sym_shared_variable_declaration] = { + .visible = true, + .named = true, + }, + [sym_file_declaration] = { + .visible = true, + .named = true, + }, + [sym_file_open_information] = { + .visible = true, + .named = true, + }, + [sym__file_logical_name] = { + .visible = false, + .named = true, + }, + [sym_constant_interface_declaration] = { + .visible = true, + .named = true, + }, + [sym_signal_interface_declaration] = { + .visible = true, + .named = true, + }, + [sym_variable_interface_declaration] = { + .visible = true, + .named = true, + }, + [sym_file_interface_declaration] = { + .visible = true, + .named = true, + }, + [sym__in] = { + .visible = false, + .named = true, + }, + [sym__out] = { + .visible = false, + .named = true, + }, + [sym__inout] = { + .visible = false, + .named = true, + }, + [sym__buffer] = { + .visible = false, + .named = true, + }, + [sym__linkage] = { + .visible = false, + .named = true, + }, + [sym__constant_mode] = { + .visible = true, + .named = true, + }, + [sym__variable_mode] = { + .visible = true, + .named = true, + }, + [sym__signal_mode] = { + .visible = true, + .named = true, + }, + [sym_type_interface_declaration] = { + .visible = true, + .named = true, + }, + [sym_procedure_interface_declaration] = { + .visible = true, + .named = true, + }, + [sym_function_interface_declaration] = { + .visible = true, + .named = true, + }, + [sym_interface_subprogram_default] = { + .visible = true, + .named = true, + }, + [sym_package_interface_declaration] = { + .visible = true, + .named = true, + }, + [sym_generic_clause] = { + .visible = true, + .named = true, + }, + [sym__semicolon] = { + .visible = false, + .named = true, + }, + [sym_port_clause] = { + .visible = true, + .named = true, + }, + [sym_association_list] = { + .visible = true, + .named = true, + }, + [sym__association_element] = { + .visible = false, + .named = true, + }, + [sym_positional_association_element] = { + .visible = true, + .named = true, + }, + [sym_named_association_element] = { + .visible = true, + .named = true, + }, + [sym_generic_map_aspect] = { + .visible = true, + .named = true, + }, + [sym_default] = { + .visible = true, + .named = true, + }, + [sym__any] = { + .visible = false, + .named = true, + }, + [sym_port_map_aspect] = { + .visible = true, + .named = true, + }, + [sym__header] = { + .visible = false, + .named = true, + }, + [sym_alias_declaration] = { + .visible = true, + .named = true, + }, + [sym__alias_designator] = { + .visible = false, + .named = true, + }, + [sym__operator_symbol] = { + .visible = false, + .named = true, + }, + [sym_attribute_declaration] = { + .visible = true, + .named = true, + }, + [sym_component_declaration] = { + .visible = true, + .named = true, + }, + [sym_group_template_declaration] = { + .visible = true, + .named = true, + }, + [sym_entity_class_entry_list] = { + .visible = true, + .named = true, + }, + [sym_entity_class_entry] = { + .visible = true, + .named = true, + }, + [sym_group_declaration] = { + .visible = true, + .named = true, + }, + [sym_group_constituent_list] = { + .visible = true, + .named = true, + }, + [sym_attribute_specification] = { + .visible = true, + .named = true, + }, + [sym_entity_name_list] = { + .visible = true, + .named = true, + }, + [sym_entity_specification] = { + .visible = true, + .named = true, + }, + [sym_entity_class] = { + .visible = true, + .named = true, + }, + [sym_entity_designator] = { + .visible = true, + .named = true, + }, + [sym__entity_tag] = { + .visible = false, + .named = true, + }, + [sym_configuration_specification] = { + .visible = true, + .named = true, + }, + [sym__component_specification] = { + .visible = false, + .named = true, + }, + [sym_instantiation_list] = { + .visible = true, + .named = true, + }, + [sym_all] = { + .visible = true, + .named = true, + }, + [sym_binding_indication] = { + .visible = true, + .named = true, + }, + [sym__entity_aspect] = { + .visible = false, + .named = true, + }, + [sym_verification_unit_binding_indication] = { + .visible = true, + .named = true, + }, + [sym_verification_unit_list] = { + .visible = true, + .named = true, + }, + [sym_disconnection_specification] = { + .visible = true, + .named = true, + }, + [sym_guarded_signal_specification] = { + .visible = true, + .named = true, + }, + [sym_signal_list] = { + .visible = true, + .named = true, + }, + [sym__simple_name] = { + .visible = false, + .named = true, + }, + [sym__end_simple_name] = { + .visible = false, + .named = true, + }, + [sym_selected_name] = { + .visible = true, + .named = true, + }, + [sym_ambiguous_name] = { + .visible = true, + .named = true, + }, + [sym_expression_list] = { + .visible = true, + .named = true, + }, + [sym_slice_name] = { + .visible = true, + .named = true, + }, + [sym_attribute_name] = { + .visible = true, + .named = true, + }, + [sym__attribute_designator] = { + .visible = false, + .named = true, + }, + [sym__predefined_attribute_designator] = { + .visible = false, + .named = true, + }, + [sym__predefined_attribute_designator_with_expression] = { + .visible = false, + .named = true, + }, + [sym__predefined_designator] = { + .visible = true, + .named = true, + }, + [sym__predefined_designator_with_expression] = { + .visible = true, + .named = true, + }, + [sym__range_designator] = { + .visible = true, + .named = true, + }, + [sym_external_constant_name] = { + .visible = true, + .named = true, + }, + [sym_external_signal_name] = { + .visible = true, + .named = true, + }, + [sym_external_variable_name] = { + .visible = true, + .named = true, + }, + [sym_package_pathname] = { + .visible = true, + .named = true, + }, + [sym_absolute_pathname] = { + .visible = true, + .named = true, + }, + [sym_relative_pathname] = { + .visible = true, + .named = true, + }, + [sym__partial_pathname] = { + .visible = false, + .named = true, + }, + [sym_pathname_element] = { + .visible = true, + .named = true, + }, + [sym__expr] = { + .visible = false, + .named = true, + }, + [sym__unary_expression] = { + .visible = false, + .named = true, + }, + [sym__binary_expression] = { + .visible = false, + .named = true, + }, + [sym__primary] = { + .visible = false, + .named = true, + }, + [sym_default_expression] = { + .visible = true, + .named = true, + }, + [sym_inertial_expression] = { + .visible = true, + .named = true, + }, + [sym_parenthesized_expression] = { + .visible = true, + .named = true, + }, + [sym_condition] = { + .visible = true, + .named = true, + }, + [sym_reduction] = { + .visible = true, + .named = true, + }, + [sym_factor] = { + .visible = true, + .named = true, + }, + [sym_sign] = { + .visible = true, + .named = true, + }, + [sym_logical_expression] = { + .visible = true, + .named = true, + }, + [sym_relation] = { + .visible = true, + .named = true, + }, + [sym_shift_expression] = { + .visible = true, + .named = true, + }, + [sym_simple_expression] = { + .visible = true, + .named = true, + }, + [sym_concatenation] = { + .visible = true, + .named = true, + }, + [sym_term] = { + .visible = true, + .named = true, + }, + [sym_exponentiation] = { + .visible = true, + .named = true, + }, + [sym_null] = { + .visible = true, + .named = true, + }, + [sym_aggregate] = { + .visible = true, + .named = true, + }, + [sym__element_association_list] = { + .visible = false, + .named = true, + }, + [sym_positional_element_association] = { + .visible = true, + .named = true, + }, + [sym_named_element_association] = { + .visible = true, + .named = true, + }, + [sym_choices] = { + .visible = true, + .named = true, + }, + [sym_others] = { + .visible = true, + .named = true, + }, + [sym_function_call] = { + .visible = true, + .named = true, + }, + [sym_qualified_expression] = { + .visible = true, + .named = true, + }, + [sym_allocator] = { + .visible = true, + .named = true, + }, + [sym_sequence_of_statements] = { + .visible = true, + .named = true, + }, + [sym__sequential_statement] = { + .visible = false, + .named = true, + }, + [sym_wait_statement] = { + .visible = true, + .named = true, + }, + [sym_sensitivity_list] = { + .visible = true, + .named = true, + }, + [sym_assertion_statement] = { + .visible = true, + .named = true, + }, + [sym_report_statement] = { + .visible = true, + .named = true, + }, + [sym__report] = { + .visible = false, + .named = true, + }, + [sym__severity] = { + .visible = false, + .named = true, + }, + [sym_simple_waveform_assignment] = { + .visible = true, + .named = true, + }, + [sym_simple_force_assignment] = { + .visible = true, + .named = true, + }, + [sym_simple_release_assignment] = { + .visible = true, + .named = true, + }, + [sym_force_mode] = { + .visible = true, + .named = true, + }, + [sym_delay_mechanism] = { + .visible = true, + .named = true, + }, + [sym_transport] = { + .visible = true, + .named = true, + }, + [sym_inertial] = { + .visible = true, + .named = true, + }, + [sym__reject] = { + .visible = false, + .named = true, + }, + [sym__target] = { + .visible = false, + .named = true, + }, + [sym_waveforms] = { + .visible = true, + .named = true, + }, + [sym_unaffected] = { + .visible = true, + .named = true, + }, + [sym_waveform_element] = { + .visible = true, + .named = true, + }, + [sym__after] = { + .visible = false, + .named = true, + }, + [sym_conditional_waveform_assignment] = { + .visible = true, + .named = true, + }, + [sym__when_clause] = { + .visible = false, + .named = true, + }, + [sym_conditional_waveforms] = { + .visible = true, + .named = true, + }, + [sym_alternative_conditional_waveforms] = { + .visible = true, + .named = true, + }, + [sym_conditional_force_assignment] = { + .visible = true, + .named = true, + }, + [sym_conditional_expressions] = { + .visible = true, + .named = true, + }, + [sym_alternative_conditional_expressions] = { + .visible = true, + .named = true, + }, + [sym_selected_waveform_assignment] = { + .visible = true, + .named = true, + }, + [sym_selected_force_assignment] = { + .visible = true, + .named = true, + }, + [sym_selected_waveforms] = { + .visible = true, + .named = true, + }, + [sym_alternative_selected_waveforms] = { + .visible = true, + .named = true, + }, + [sym_selected_expressions] = { + .visible = true, + .named = true, + }, + [sym_alternative_selected_expressions] = { + .visible = true, + .named = true, + }, + [sym_simple_variable_assignment] = { + .visible = true, + .named = true, + }, + [sym_conditional_variable_assignment] = { + .visible = true, + .named = true, + }, + [sym_selected_variable_assignment] = { + .visible = true, + .named = true, + }, + [sym_procedure_call_statement] = { + .visible = true, + .named = true, + }, + [sym_if_statement] = { + .visible = true, + .named = true, + }, + [sym_if] = { + .visible = true, + .named = true, + }, + [sym_elsif] = { + .visible = true, + .named = true, + }, + [sym_else] = { + .visible = true, + .named = true, + }, + [sym_case_statement] = { + .visible = true, + .named = true, + }, + [sym_case_statement_alternative] = { + .visible = true, + .named = true, + }, + [sym_loop_statement] = { + .visible = true, + .named = true, + }, + [sym_while_loop] = { + .visible = true, + .named = true, + }, + [sym_for_loop] = { + .visible = true, + .named = true, + }, + [sym_parameter_specification] = { + .visible = true, + .named = true, + }, + [sym_next_statement] = { + .visible = true, + .named = true, + }, + [sym__loop_label] = { + .visible = false, + .named = true, + }, + [sym_exit_statement] = { + .visible = true, + .named = true, + }, + [sym_return_statement] = { + .visible = true, + .named = true, + }, + [sym_null_statement] = { + .visible = true, + .named = true, + }, + [sym_concurrent_statement_part] = { + .visible = true, + .named = true, + }, + [sym__concurrent_statement] = { + .visible = false, + .named = true, + }, + [sym_block_statement] = { + .visible = true, + .named = true, + }, + [sym__guard] = { + .visible = false, + .named = true, + }, + [sym_process_statement] = { + .visible = true, + .named = true, + }, + [sym_component_instantiation_statement] = { + .visible = true, + .named = true, + }, + [sym_entity_instantiation] = { + .visible = true, + .named = true, + }, + [sym_configuration_instantiation] = { + .visible = true, + .named = true, + }, + [sym_component_instantiation] = { + .visible = true, + .named = true, + }, + [sym_for_generate_statement] = { + .visible = true, + .named = true, + }, + [sym_if_generate_statement] = { + .visible = true, + .named = true, + }, + [sym_if_generate] = { + .visible = true, + .named = true, + }, + [sym_elsif_generate] = { + .visible = true, + .named = true, + }, + [sym_else_generate] = { + .visible = true, + .named = true, + }, + [sym_case_generate_statement] = { + .visible = true, + .named = true, + }, + [sym_case_generate_alternative] = { + .visible = true, + .named = true, + }, + [sym_generate_statement_body] = { + .visible = true, + .named = true, + }, + [sym_label] = { + .visible = true, + .named = true, + }, + [sym_use_clause] = { + .visible = true, + .named = true, + }, + [sym_design_unit] = { + .visible = true, + .named = true, + }, + [sym__primary_unit] = { + .visible = false, + .named = true, + }, + [sym__secondary_unit] = { + .visible = false, + .named = true, + }, + [sym_library_clause] = { + .visible = true, + .named = true, + }, + [sym_logical_name_list] = { + .visible = true, + .named = true, + }, + [sym_context_declaration] = { + .visible = true, + .named = true, + }, + [sym_context_clause] = { + .visible = true, + .named = true, + }, + [sym__context_item] = { + .visible = false, + .named = true, + }, + [sym_context_reference] = { + .visible = true, + .named = true, + }, + [sym_context_list] = { + .visible = true, + .named = true, + }, + [sym_character_literal] = { + .visible = true, + .named = true, + }, + [sym_string_literal] = { + .visible = true, + .named = true, + }, + [sym_bit_string_literal] = { + .visible = true, + .named = true, + }, + [sym__PSL_Number] = { + .visible = false, + .named = true, + }, + [sym_PSL_Expression] = { + .visible = true, + .named = true, + }, + [sym_PSL_Built_In_Function_Call] = { + .visible = true, + .named = true, + }, + [sym_PSL_Union_Expression] = { + .visible = true, + .named = true, + }, + [sym__PSL_Declaration] = { + .visible = false, + .named = true, + }, + [sym_PSL_Clock_Declaration] = { + .visible = true, + .named = true, + }, + [sym__PSL_SERE] = { + .visible = false, + .named = true, + }, + [sym_PSL_Simple_SERE] = { + .visible = true, + .named = true, + }, + [sym__PSL_Fusion_SERE] = { + .visible = false, + .named = true, + }, + [sym__PSL_Concat_SERE] = { + .visible = false, + .named = true, + }, + [sym__PSL_Compound_SERE] = { + .visible = false, + .named = true, + }, + [sym_PSL_Compound_SERE_And] = { + .visible = true, + .named = true, + }, + [sym_PSL_Compound_SERE_Within] = { + .visible = true, + .named = true, + }, + [sym_PSL_Parameterized_SERE] = { + .visible = true, + .named = true, + }, + [sym_PSL_Parameters_Definition] = { + .visible = true, + .named = true, + }, + [sym_PSL_Parameter_Specification] = { + .visible = true, + .named = true, + }, + [sym__PSL_Sequence] = { + .visible = false, + .named = true, + }, + [sym_PSL_Repeated_SERE] = { + .visible = true, + .named = true, + }, + [sym_PSL_Count] = { + .visible = true, + .named = true, + }, + [sym__PSL_Range] = { + .visible = false, + .named = true, + }, + [sym_PSL_Braced_SERE] = { + .visible = true, + .named = true, + }, + [sym_PSL_Clocked_SERE] = { + .visible = true, + .named = true, + }, + [sym_PSL_Parenthesized_FL_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Sequential_FL_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Clocked_FL_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Invariant_FL_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Ocurrence_FL_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Implication_FL_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Logical_FL_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Factor_FL_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Extended_Ocurrence_FL_Property] = { + .visible = true, + .named = true, + }, + [sym__PSL_Extended_Ocurrence_argument] = { + .visible = false, + .named = true, + }, + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = { + .visible = false, + .named = true, + }, + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = { + .visible = false, + .named = true, + }, + [sym_PSL_Termination_FL_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Bounding_FL_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Suffix_Implication_FL_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Parameterized_Property] = { + .visible = true, + .named = true, + }, + [sym_PSL_Property_Replicator] = { + .visible = true, + .named = true, + }, + [sym_PSL_Index_Range] = { + .visible = true, + .named = true, + }, + [sym_PSL_Value_Set] = { + .visible = true, + .named = true, + }, + [sym_boolean] = { + .visible = true, + .named = true, + }, + [sym__PSL_Value_Range] = { + .visible = false, + .named = true, + }, + [sym_PSL_Property_Declaration] = { + .visible = true, + .named = true, + }, + [sym_PSL_Sequence_Declaration] = { + .visible = true, + .named = true, + }, + [sym_PSL_Formal_Parameter_List] = { + .visible = true, + .named = true, + }, + [sym_PSL_Formal_Parameter] = { + .visible = true, + .named = true, + }, + [sym__PSL_Parameter_Specification] = { + .visible = false, + .named = true, + }, + [sym_PSL_Constant_Parameter_Specification] = { + .visible = true, + .named = true, + }, + [sym_PSL_Temporal_Parameter_Specification] = { + .visible = true, + .named = true, + }, + [sym_PSL_HDL_Type] = { + .visible = true, + .named = true, + }, + [sym_PSL_Type_Class] = { + .visible = true, + .named = true, + }, + [sym_PSL_Instance] = { + .visible = true, + .named = true, + }, + [sym__PSL_Ambiguous_Instance] = { + .visible = false, + .named = true, + }, + [sym__PSL_Sequence_Instance] = { + .visible = false, + .named = true, + }, + [sym__PSL_Property_Instance] = { + .visible = false, + .named = true, + }, + [sym_PSL_Actual_Parameter_List] = { + .visible = true, + .named = true, + }, + [sym_PSL_Actual_Parameter] = { + .visible = true, + .named = true, + }, + [sym__PSL_Directive] = { + .visible = false, + .named = true, + }, + [sym_PSL_Assert_Directive] = { + .visible = true, + .named = true, + }, + [sym_PSL_Assume_Directive] = { + .visible = true, + .named = true, + }, + [sym_PSL_Assume_Guarantee_Directive] = { + .visible = true, + .named = true, + }, + [sym_PSL_Restrict_Directive] = { + .visible = true, + .named = true, + }, + [sym_PSL_Restrict_Guarantee_Directive] = { + .visible = true, + .named = true, + }, + [sym_PSL_Cover_Directive] = { + .visible = true, + .named = true, + }, + [sym_PSL_Fairness_Directive] = { + .visible = true, + .named = true, + }, + [sym_PSL_Strong_Fairness_Directive] = { + .visible = true, + .named = true, + }, + [sym__PSL_Verification_Unit] = { + .visible = false, + .named = true, + }, + [sym_PSL_VUnit] = { + .visible = true, + .named = true, + }, + [sym_PSL_VProp] = { + .visible = true, + .named = true, + }, + [sym_PSL_VMode] = { + .visible = true, + .named = true, + }, + [sym_PSL_Verification_Unit_Body] = { + .visible = true, + .named = true, + }, + [sym_PSL_Hierarchical_HDL_Name] = { + .visible = true, + .named = true, + }, + [sym_PSL_Inherit_Spec] = { + .visible = true, + .named = true, + }, + [sym__PSL_VUnit_Item] = { + .visible = false, + .named = true, + }, + [aux_sym_design_file_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_configuration_declaration_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_block_configuration_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_block_configuration_repeat2] = { + .visible = false, + .named = false, + }, + [aux_sym_signature_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_enumeration_type_definition_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_physical_type_definition_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_unbounded_array_definition_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_index_constraint_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_record_type_definition_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_record_constraint_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_identifier_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_declarative_part_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_record_resolution_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym__generic_interface_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym__port_interface_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym__procedure_parameter_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym__function_parameter_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_association_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_entity_class_entry_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_group_constituent_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_entity_name_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_instantiation_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_verification_unit_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_signal_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_expression_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_package_pathname_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_relative_pathname_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym__partial_pathname_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_logical_expression_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_logical_expression_repeat2] = { + .visible = false, + .named = false, + }, + [aux_sym_logical_expression_repeat3] = { + .visible = false, + .named = false, + }, + [aux_sym_logical_expression_repeat4] = { + .visible = false, + .named = false, + }, + [aux_sym_simple_expression_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_concatenation_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_term_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym__element_association_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_choices_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_sequence_of_statements_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_waveforms_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_conditional_waveforms_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_conditional_expressions_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_selected_waveforms_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_selected_expressions_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_if_statement_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_case_statement_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_concurrent_statement_part_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_if_generate_statement_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_case_generate_statement_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_use_clause_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_logical_name_list_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_context_clause_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Built_In_Function_Call_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym__PSL_Fusion_SERE_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym__PSL_Concat_SERE_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Compound_SERE_And_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Compound_SERE_Within_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Parameters_Definition_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Clocked_FL_Property_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Implication_FL_Property_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Logical_FL_Property_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Value_Set_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Formal_Parameter_List_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Formal_Parameter_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Actual_Parameter_List_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Verification_Unit_Body_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Verification_Unit_Body_repeat2] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Hierarchical_HDL_Name_repeat1] = { + .visible = false, + .named = false, + }, + [aux_sym_PSL_Inherit_Spec_repeat1] = { + .visible = false, + .named = false, + }, + [alias_sym_PSL_Any_Type] = { + .visible = true, + .named = true, + }, + [alias_sym_PSL_Boolean] = { + .visible = true, + .named = true, + }, + [alias_sym_PSL_Identifier] = { + .visible = true, + .named = true, + }, + [alias_sym_PSL_Number] = { + .visible = true, + .named = true, + }, + [alias_sym_PSL_Property_Instance] = { + .visible = true, + .named = true, + }, + [alias_sym_PSL_Sequence_Instance] = { + .visible = true, + .named = true, + }, + [alias_sym_any] = { + .visible = true, + .named = true, + }, + [alias_sym_array_element_constraint] = { + .visible = true, + .named = true, + }, + [alias_sym_block_header] = { + .visible = true, + .named = true, + }, + [alias_sym_block_specification] = { + .visible = true, + .named = true, + }, + [alias_sym_component_header] = { + .visible = true, + .named = true, + }, + [alias_sym_component_map_aspect] = { + .visible = true, + .named = true, + }, + [alias_sym_conditional_concurrent_signal_assignment] = { + .visible = true, + .named = true, + }, + [alias_sym_conditional_expression] = { + .visible = true, + .named = true, + }, + [alias_sym_entity_header] = { + .visible = true, + .named = true, + }, + [alias_sym_expression] = { + .visible = true, + .named = true, + }, + [alias_sym_extended_simple_name] = { + .visible = true, + .named = true, + }, + [alias_sym_file_open_kind] = { + .visible = true, + .named = true, + }, + [alias_sym_identifier] = { + .visible = true, + .named = true, + }, + [alias_sym_numeric_type_definition] = { + .visible = true, + .named = true, + }, + [alias_sym_operator_symbol] = { + .visible = true, + .named = true, + }, + [alias_sym_package_header] = { + .visible = true, + .named = true, + }, + [alias_sym_package_map_aspect] = { + .visible = true, + .named = true, + }, + [alias_sym_selected_concurrent_signal_assignment] = { + .visible = true, + .named = true, + }, + [alias_sym_semicolon] = { + .visible = true, + .named = true, + }, + [alias_sym_severity_expression] = { + .visible = true, + .named = true, + }, + [alias_sym_simple_concurrent_signal_assignment] = { + .visible = true, + .named = true, + }, + [alias_sym_string_expression] = { + .visible = true, + .named = true, + }, + [alias_sym_subprogram_header] = { + .visible = true, + .named = true, + }, + [alias_sym_subprogram_map_aspect] = { + .visible = true, + .named = true, + }, + [alias_sym_time_expression] = { + .visible = true, + .named = true, + }, +}; + +enum { + field_Boolean = 1, + field_Property = 2, + field_SERE = 3, + field_Sequence = 4, + field_actual_part = 5, + field_architecture = 6, + field_at_end = 7, + field_component = 8, + field_configuration = 9, + field_denotator = 10, + field_designator = 11, + field_element = 12, + field_entity = 13, + field_formal_part = 14, + field_function = 15, + field_guard = 16, + field_high = 17, + field_instance = 18, + field_label = 19, + field_library = 20, + field_literal = 21, + field_loop_label = 22, + field_low = 23, + field_name = 24, + field_name_or_label = 25, + field_object = 26, + field_operator = 27, + field_package = 28, + field_prefix = 29, + field_procedure = 30, + field_reject = 31, + field_specification = 32, + field_suffix = 33, + field_target = 34, + field_template = 35, + field_uninstantiated = 36, + field_unit = 37, +}; + +static const char * const ts_field_names[] = { + [0] = NULL, + [field_Boolean] = "Boolean", + [field_Property] = "Property", + [field_SERE] = "SERE", + [field_Sequence] = "Sequence", + [field_actual_part] = "actual_part", + [field_architecture] = "architecture", + [field_at_end] = "at_end", + [field_component] = "component", + [field_configuration] = "configuration", + [field_denotator] = "denotator", + [field_designator] = "designator", + [field_element] = "element", + [field_entity] = "entity", + [field_formal_part] = "formal_part", + [field_function] = "function", + [field_guard] = "guard", + [field_high] = "high", + [field_instance] = "instance", + [field_label] = "label", + [field_library] = "library", + [field_literal] = "literal", + [field_loop_label] = "loop_label", + [field_low] = "low", + [field_name] = "name", + [field_name_or_label] = "name_or_label", + [field_object] = "object", + [field_operator] = "operator", + [field_package] = "package", + [field_prefix] = "prefix", + [field_procedure] = "procedure", + [field_reject] = "reject", + [field_specification] = "specification", + [field_suffix] = "suffix", + [field_target] = "target", + [field_template] = "template", + [field_uninstantiated] = "uninstantiated", + [field_unit] = "unit", +}; + +static const TSFieldMapSlice ts_field_map_slices[PRODUCTION_ID_COUNT] = { + [2] = {.index = 0, .length = 1}, + [3] = {.index = 1, .length = 1}, + [8] = {.index = 2, .length = 1}, + [9] = {.index = 3, .length = 1}, + [12] = {.index = 4, .length = 1}, + [13] = {.index = 4, .length = 1}, + [15] = {.index = 5, .length = 1}, + [16] = {.index = 5, .length = 1}, + [17] = {.index = 6, .length = 1}, + [23] = {.index = 7, .length = 1}, + [24] = {.index = 8, .length = 1}, + [25] = {.index = 9, .length = 1}, + [26] = {.index = 10, .length = 1}, + [27] = {.index = 11, .length = 2}, + [28] = {.index = 13, .length = 1}, + [29] = {.index = 14, .length = 1}, + [30] = {.index = 15, .length = 1}, + [31] = {.index = 16, .length = 1}, + [32] = {.index = 17, .length = 1}, + [33] = {.index = 17, .length = 1}, + [34] = {.index = 4, .length = 1}, + [35] = {.index = 4, .length = 1}, + [37] = {.index = 18, .length = 1}, + [38] = {.index = 18, .length = 1}, + [40] = {.index = 19, .length = 1}, + [41] = {.index = 20, .length = 1}, + [42] = {.index = 21, .length = 1}, + [43] = {.index = 22, .length = 1}, + [45] = {.index = 14, .length = 1}, + [46] = {.index = 23, .length = 3}, + [48] = {.index = 26, .length = 1}, + [50] = {.index = 16, .length = 1}, + [51] = {.index = 27, .length = 1}, + [52] = {.index = 28, .length = 1}, + [53] = {.index = 29, .length = 2}, + [54] = {.index = 31, .length = 1}, + [55] = {.index = 31, .length = 1}, + [57] = {.index = 32, .length = 2}, + [58] = {.index = 34, .length = 2}, + [60] = {.index = 36, .length = 1}, + [61] = {.index = 36, .length = 1}, + [62] = {.index = 37, .length = 1}, + [65] = {.index = 38, .length = 2}, + [66] = {.index = 40, .length = 2}, + [67] = {.index = 42, .length = 2}, + [68] = {.index = 44, .length = 1}, + [69] = {.index = 45, .length = 2}, + [70] = {.index = 17, .length = 1}, + [71] = {.index = 17, .length = 1}, + [74] = {.index = 47, .length = 1}, + [76] = {.index = 48, .length = 1}, + [77] = {.index = 49, .length = 1}, + [80] = {.index = 50, .length = 2}, + [81] = {.index = 50, .length = 2}, + [82] = {.index = 52, .length = 4}, + [84] = {.index = 44, .length = 1}, + [85] = {.index = 44, .length = 1}, + [86] = {.index = 14, .length = 1}, + [87] = {.index = 44, .length = 1}, + [88] = {.index = 56, .length = 3}, + [89] = {.index = 56, .length = 3}, + [90] = {.index = 59, .length = 1}, + [91] = {.index = 60, .length = 1}, + [92] = {.index = 61, .length = 2}, + [93] = {.index = 63, .length = 1}, + [94] = {.index = 64, .length = 1}, + [95] = {.index = 65, .length = 1}, + [96] = {.index = 65, .length = 1}, + [97] = {.index = 66, .length = 1}, + [98] = {.index = 67, .length = 1}, + [99] = {.index = 68, .length = 1}, + [101] = {.index = 69, .length = 1}, + [103] = {.index = 70, .length = 2}, + [104] = {.index = 72, .length = 1}, + [106] = {.index = 73, .length = 1}, + [107] = {.index = 73, .length = 1}, + [109] = {.index = 74, .length = 2}, + [111] = {.index = 76, .length = 2}, + [113] = {.index = 78, .length = 1}, + [114] = {.index = 78, .length = 1}, + [115] = {.index = 79, .length = 1}, + [116] = {.index = 79, .length = 1}, + [117] = {.index = 80, .length = 2}, + [118] = {.index = 80, .length = 2}, + [119] = {.index = 80, .length = 2}, + [120] = {.index = 80, .length = 2}, + [121] = {.index = 82, .length = 1}, + [124] = {.index = 83, .length = 2}, + [125] = {.index = 85, .length = 2}, + [126] = {.index = 85, .length = 2}, + [127] = {.index = 65, .length = 1}, + [129] = {.index = 87, .length = 1}, + [130] = {.index = 87, .length = 1}, + [131] = {.index = 88, .length = 2}, + [132] = {.index = 18, .length = 1}, + [133] = {.index = 88, .length = 2}, + [134] = {.index = 18, .length = 1}, + [135] = {.index = 90, .length = 1}, + [136] = {.index = 91, .length = 2}, + [137] = {.index = 91, .length = 2}, + [138] = {.index = 93, .length = 1}, + [139] = {.index = 94, .length = 2}, + [140] = {.index = 18, .length = 1}, + [141] = {.index = 94, .length = 2}, + [142] = {.index = 18, .length = 1}, + [143] = {.index = 96, .length = 1}, + [145] = {.index = 18, .length = 1}, + [146] = {.index = 18, .length = 1}, + [147] = {.index = 97, .length = 1}, + [148] = {.index = 98, .length = 2}, + [149] = {.index = 100, .length = 2}, + [150] = {.index = 100, .length = 2}, + [155] = {.index = 44, .length = 1}, + [156] = {.index = 44, .length = 1}, + [157] = {.index = 44, .length = 1}, + [158] = {.index = 102, .length = 1}, + [159] = {.index = 102, .length = 1}, + [160] = {.index = 103, .length = 2}, + [161] = {.index = 103, .length = 2}, + [162] = {.index = 105, .length = 3}, + [163] = {.index = 105, .length = 3}, + [164] = {.index = 105, .length = 3}, + [165] = {.index = 105, .length = 3}, + [166] = {.index = 108, .length = 1}, + [170] = {.index = 109, .length = 2}, + [171] = {.index = 111, .length = 2}, + [172] = {.index = 4, .length = 1}, + [173] = {.index = 65, .length = 1}, + [174] = {.index = 87, .length = 1}, + [175] = {.index = 113, .length = 2}, + [176] = {.index = 113, .length = 2}, + [177] = {.index = 113, .length = 2}, + [178] = {.index = 113, .length = 2}, + [179] = {.index = 115, .length = 2}, + [180] = {.index = 115, .length = 2}, + [181] = {.index = 117, .length = 1}, + [182] = {.index = 118, .length = 1}, + [183] = {.index = 38, .length = 2}, + [184] = {.index = 119, .length = 2}, + [185] = {.index = 119, .length = 2}, + [186] = {.index = 91, .length = 2}, + [187] = {.index = 91, .length = 2}, + [188] = {.index = 121, .length = 2}, + [189] = {.index = 94, .length = 2}, + [190] = {.index = 113, .length = 2}, + [191] = {.index = 94, .length = 2}, + [192] = {.index = 113, .length = 2}, + [193] = {.index = 123, .length = 2}, + [194] = {.index = 125, .length = 2}, + [195] = {.index = 127, .length = 1}, + [196] = {.index = 128, .length = 2}, + [197] = {.index = 18, .length = 1}, + [198] = {.index = 18, .length = 1}, + [199] = {.index = 113, .length = 2}, + [200] = {.index = 18, .length = 1}, + [201] = {.index = 113, .length = 2}, + [202] = {.index = 130, .length = 2}, + [203] = {.index = 132, .length = 2}, + [204] = {.index = 132, .length = 2}, + [205] = {.index = 134, .length = 1}, + [206] = {.index = 118, .length = 1}, + [208] = {.index = 118, .length = 1}, + [209] = {.index = 135, .length = 3}, + [210] = {.index = 138, .length = 3}, + [211] = {.index = 141, .length = 2}, + [212] = {.index = 143, .length = 2}, + [213] = {.index = 65, .length = 1}, + [220] = {.index = 87, .length = 1}, + [221] = {.index = 145, .length = 2}, + [222] = {.index = 145, .length = 2}, + [223] = {.index = 145, .length = 2}, + [224] = {.index = 145, .length = 2}, + [225] = {.index = 147, .length = 3}, + [226] = {.index = 147, .length = 3}, + [227] = {.index = 150, .length = 1}, + [228] = {.index = 119, .length = 2}, + [229] = {.index = 119, .length = 2}, + [230] = {.index = 91, .length = 2}, + [231] = {.index = 91, .length = 2}, + [232] = {.index = 151, .length = 2}, + [233] = {.index = 145, .length = 2}, + [234] = {.index = 145, .length = 2}, + [236] = {.index = 145, .length = 2}, + [237] = {.index = 145, .length = 2}, + [238] = {.index = 153, .length = 2}, + [239] = {.index = 153, .length = 2}, + [241] = {.index = 155, .length = 3}, + [242] = {.index = 158, .length = 1}, + [243] = {.index = 159, .length = 3}, + [244] = {.index = 162, .length = 2}, + [246] = {.index = 164, .length = 1}, + [247] = {.index = 164, .length = 1}, + [248] = {.index = 165, .length = 1}, + [249] = {.index = 150, .length = 1}, + [250] = {.index = 150, .length = 1}, + [251] = {.index = 150, .length = 1}, + [252] = {.index = 166, .length = 1}, + [253] = {.index = 150, .length = 1}, + [254] = {.index = 167, .length = 4}, + [255] = {.index = 171, .length = 3}, + [256] = {.index = 174, .length = 3}, + [257] = {.index = 177, .length = 2}, + [258] = {.index = 179, .length = 2}, + [259] = {.index = 165, .length = 1}, + [262] = {.index = 87, .length = 1}, + [263] = {.index = 181, .length = 2}, + [264] = {.index = 181, .length = 2}, + [265] = {.index = 181, .length = 2}, + [266] = {.index = 181, .length = 2}, + [267] = {.index = 183, .length = 3}, + [268] = {.index = 183, .length = 3}, + [269] = {.index = 119, .length = 2}, + [270] = {.index = 119, .length = 2}, + [271] = {.index = 186, .length = 2}, + [272] = {.index = 181, .length = 2}, + [273] = {.index = 181, .length = 2}, + [274] = {.index = 188, .length = 1}, + [275] = {.index = 189, .length = 1}, + [276] = {.index = 190, .length = 4}, + [277] = {.index = 194, .length = 1}, + [278] = {.index = 195, .length = 2}, + [279] = {.index = 194, .length = 1}, + [280] = {.index = 194, .length = 1}, + [281] = {.index = 194, .length = 1}, + [282] = {.index = 197, .length = 2}, + [283] = {.index = 166, .length = 1}, + [284] = {.index = 194, .length = 1}, + [285] = {.index = 199, .length = 4}, + [286] = {.index = 203, .length = 3}, + [287] = {.index = 206, .length = 3}, + [289] = {.index = 209, .length = 1}, + [290] = {.index = 194, .length = 1}, + [291] = {.index = 194, .length = 1}, + [292] = {.index = 210, .length = 1}, + [293] = {.index = 211, .length = 2}, + [294] = {.index = 211, .length = 2}, + [295] = {.index = 211, .length = 2}, + [296] = {.index = 211, .length = 2}, + [297] = {.index = 213, .length = 3}, + [298] = {.index = 213, .length = 3}, + [300] = {.index = 216, .length = 2}, + [301] = {.index = 218, .length = 1}, + [302] = {.index = 219, .length = 2}, + [303] = {.index = 219, .length = 2}, + [304] = {.index = 221, .length = 1}, + [305] = {.index = 221, .length = 1}, + [306] = {.index = 221, .length = 1}, + [307] = {.index = 222, .length = 2}, + [308] = {.index = 166, .length = 1}, + [309] = {.index = 222, .length = 2}, + [310] = {.index = 224, .length = 4}, + [311] = {.index = 221, .length = 1}, + [312] = {.index = 221, .length = 1}, + [313] = {.index = 228, .length = 2}, + [314] = {.index = 210, .length = 1}, + [315] = {.index = 230, .length = 2}, + [316] = {.index = 230, .length = 2}, + [317] = {.index = 232, .length = 3}, + [318] = {.index = 232, .length = 3}, + [319] = {.index = 235, .length = 1}, + [320] = {.index = 236, .length = 2}, + [321] = {.index = 236, .length = 2}, + [322] = {.index = 236, .length = 2}, + [323] = {.index = 235, .length = 1}, + [324] = {.index = 235, .length = 1}, + [325] = {.index = 238, .length = 2}, + [326] = {.index = 210, .length = 1}, + [327] = {.index = 238, .length = 2}, + [328] = {.index = 240, .length = 3}, + [329] = {.index = 240, .length = 3}, + [330] = {.index = 243, .length = 1}, + [331] = {.index = 244, .length = 2}, + [332] = {.index = 244, .length = 2}, + [333] = {.index = 244, .length = 2}, + [334] = {.index = 246, .length = 2}, + [335] = {.index = 246, .length = 2}, + [336] = {.index = 246, .length = 2}, + [337] = {.index = 248, .length = 1}, + [338] = {.index = 249, .length = 2}, + [339] = {.index = 251, .length = 2}, + [340] = {.index = 251, .length = 2}, + [341] = {.index = 251, .length = 2}, + [342] = {.index = 253, .length = 1}, + [343] = {.index = 254, .length = 2}, + [344] = {.index = 256, .length = 1}, +}; + +static const TSFieldMapEntry ts_field_map_entries[] = { + [0] = + {field_target, 0}, + [1] = + {field_component, 0}, + [2] = + {field_entity, 1}, + [3] = + {field_configuration, 1}, + [4] = + {field_designator, 1}, + [5] = + {field_designator, 0}, + [6] = + {field_component, 1}, + [7] = + {field_loop_label, 0}, + [8] = + {field_library, 0}, + [9] = + {field_designator, 0, .inherited = true}, + [10] = + {field_procedure, 0}, + [11] = + {field_designator, 1, .inherited = true}, + {field_prefix, 0}, + [13] = + {field_component, 1, .inherited = true}, + [14] = + {field_operator, 0}, + [15] = + {field_unit, 1}, + [16] = + {field_operator, 1, .inherited = true}, + [17] = + {field_designator, 2}, + [18] = + {field_name, 1}, + [19] = + {field_object, 0}, + [20] = + {field_name_or_label, 0}, + [21] = + {field_object, 0, .inherited = true}, + [22] = + {field_procedure, 1}, + [23] = + {field_Boolean, 1, .inherited = true}, + {field_Property, 1, .inherited = true}, + {field_operator, 0}, + [26] = + {field_operator, 0, .inherited = true}, + [27] = + {field_loop_label, 1, .inherited = true}, + [28] = + {field_guard, 0}, + [29] = + {field_library, 0}, + {field_library, 1, .inherited = true}, + [31] = + {field_actual_part, 0}, + [32] = + {field_prefix, 0}, + {field_suffix, 2}, + [34] = + {field_designator, 2, .inherited = true}, + {field_prefix, 0}, + [36] = + {field_name, 0}, + [37] = + {field_component, 2}, + [38] = + {field_designator, 2}, + {field_prefix, 0}, + [40] = + {field_high, 2}, + {field_low, 0}, + [42] = + {field_high, 0}, + {field_low, 2}, + [44] = + {field_operator, 1}, + [45] = + {field_operator, 0, .inherited = true}, + {field_operator, 1, .inherited = true}, + [47] = + {field_entity, 0}, + [48] = + {field_object, 1, .inherited = true}, + [49] = + {field_object, 1}, + [50] = + {field_operator, 0}, + {field_operator, 1}, + [52] = + {field_Boolean, 2, .inherited = true}, + {field_Property, 2, .inherited = true}, + {field_operator, 0}, + {field_operator, 1}, + [56] = + {field_Property, 2}, + {field_Sequence, 0}, + {field_operator, 1}, + [59] = + {field_at_end, 0}, + [60] = + {field_library, 1}, + [61] = + {field_library, 0, .inherited = true}, + {field_library, 1, .inherited = true}, + [63] = + {field_function, 0}, + [64] = + {field_prefix, 0}, + [65] = + {field_target, 0, .inherited = true}, + [66] = + {field_reject, 1}, + [67] = + {field_reject, 0, .inherited = true}, + [68] = + {field_procedure, 2}, + [69] = + {field_loop_label, 2, .inherited = true}, + [70] = + {field_architecture, 3}, + {field_entity, 1}, + [72] = + {field_element, 0}, + [73] = + {field_literal, 0}, + [74] = + {field_denotator, 3}, + {field_designator, 1, .inherited = true}, + [76] = + {field_entity, 0}, + {field_instance, 1, .inherited = true}, + [78] = + {field_Boolean, 1}, + [79] = + {field_Property, 3}, + [80] = + {field_operator, 1}, + {field_operator, 2}, + [82] = + {field_at_end, 3, .inherited = true}, + [83] = + {field_at_end, 0}, + {field_at_end, 0, .inherited = true}, + [85] = + {field_actual_part, 2}, + {field_formal_part, 0}, + [87] = + {field_target, 1, .inherited = true}, + [88] = + {field_at_end, 4, .inherited = true}, + {field_name, 1}, + [90] = + {field_at_end, 1, .inherited = true}, + [91] = + {field_designator, 1}, + {field_uninstantiated, 4}, + [93] = + {field_package, 2}, + [94] = + {field_name, 1}, + {field_uninstantiated, 4}, + [96] = + {field_literal, 1, .inherited = true}, + [97] = + {field_instance, 1}, + [98] = + {field_instance, 0, .inherited = true}, + {field_instance, 1, .inherited = true}, + [100] = + {field_label, 0}, + {field_specification, 2}, + [102] = + {field_Property, 2}, + [103] = + {field_Boolean, 0, .inherited = true}, + {field_Property, 2}, + [105] = + {field_operator, 1}, + {field_operator, 2}, + {field_operator, 3}, + [108] = + {field_at_end, 4, .inherited = true}, + [109] = + {field_at_end, 4}, + {field_designator, 0, .inherited = true}, + [111] = + {field_at_end, 4, .inherited = true}, + {field_designator, 0, .inherited = true}, + [113] = + {field_at_end, 5, .inherited = true}, + {field_name, 1}, + [115] = + {field_entity, 3}, + {field_name, 1}, + [117] = + {field_at_end, 2, .inherited = true}, + [118] = + {field_at_end, 5, .inherited = true}, + [119] = + {field_designator, 2}, + {field_uninstantiated, 5}, + [121] = + {field_at_end, 5, .inherited = true}, + {field_package, 2}, + [123] = + {field_literal, 1, .inherited = true}, + {field_literal, 2, .inherited = true}, + [125] = + {field_literal, 0, .inherited = true}, + {field_literal, 1, .inherited = true}, + [127] = + {field_element, 3}, + [128] = + {field_denotator, 5}, + {field_designator, 1, .inherited = true}, + [130] = + {field_architecture, 2}, + {field_entity, 0}, + [132] = + {field_Property, 4}, + {field_SERE, 1}, + [134] = + {field_target, 3, .inherited = true}, + [135] = + {field_at_end, 4}, + {field_at_end, 5}, + {field_designator, 0, .inherited = true}, + [138] = + {field_at_end, 4}, + {field_at_end, 5, .inherited = true}, + {field_designator, 0, .inherited = true}, + [141] = + {field_at_end, 5}, + {field_designator, 0, .inherited = true}, + [143] = + {field_at_end, 5, .inherited = true}, + {field_designator, 0, .inherited = true}, + [145] = + {field_at_end, 6, .inherited = true}, + {field_name, 1}, + [147] = + {field_at_end, 6, .inherited = true}, + {field_entity, 3}, + {field_name, 1}, + [150] = + {field_at_end, 6, .inherited = true}, + [151] = + {field_at_end, 6, .inherited = true}, + {field_package, 2}, + [153] = + {field_name, 1}, + {field_template, 3}, + [155] = + {field_architecture, 2}, + {field_entity, 0}, + {field_instance, 4, .inherited = true}, + [158] = + {field_package, 1}, + [159] = + {field_library, 1}, + {field_object, 5}, + {field_package, 3}, + [162] = + {field_package, 0, .inherited = true}, + {field_package, 1, .inherited = true}, + [164] = + {field_operator, 3}, + [165] = + {field_target, 4, .inherited = true}, + [166] = + {field_guard, 2, .inherited = true}, + [167] = + {field_at_end, 4}, + {field_at_end, 5}, + {field_at_end, 6, .inherited = true}, + {field_designator, 0, .inherited = true}, + [171] = + {field_at_end, 5}, + {field_at_end, 6}, + {field_designator, 0, .inherited = true}, + [174] = + {field_at_end, 5}, + {field_at_end, 6, .inherited = true}, + {field_designator, 0, .inherited = true}, + [177] = + {field_at_end, 6}, + {field_designator, 0, .inherited = true}, + [179] = + {field_at_end, 6, .inherited = true}, + {field_designator, 0, .inherited = true}, + [181] = + {field_at_end, 7, .inherited = true}, + {field_name, 1}, + [183] = + {field_at_end, 7, .inherited = true}, + {field_entity, 3}, + {field_name, 1}, + [186] = + {field_at_end, 7, .inherited = true}, + {field_package, 2}, + [188] = + {field_element, 5}, + [189] = + {field_unit, 0}, + [190] = + {field_library, 1}, + {field_object, 6}, + {field_package, 3}, + {field_package, 4, .inherited = true}, + [194] = + {field_at_end, 7, .inherited = true}, + [195] = + {field_SERE, 5}, + {field_operator, 3}, + [197] = + {field_at_end, 7, .inherited = true}, + {field_guard, 2, .inherited = true}, + [199] = + {field_at_end, 5}, + {field_at_end, 6}, + {field_at_end, 7, .inherited = true}, + {field_designator, 0, .inherited = true}, + [203] = + {field_at_end, 6}, + {field_at_end, 7}, + {field_designator, 0, .inherited = true}, + [206] = + {field_at_end, 6}, + {field_at_end, 7, .inherited = true}, + {field_designator, 0, .inherited = true}, + [209] = + {field_target, 5, .inherited = true}, + [210] = + {field_guard, 3, .inherited = true}, + [211] = + {field_at_end, 8, .inherited = true}, + {field_name, 1}, + [213] = + {field_at_end, 8, .inherited = true}, + {field_entity, 3}, + {field_name, 1}, + [216] = + {field_at_end, 8, .inherited = true}, + {field_package, 2}, + [218] = + {field_element, 6}, + [219] = + {field_name, 0}, + {field_unit, 2, .inherited = true}, + [221] = + {field_at_end, 8, .inherited = true}, + [222] = + {field_at_end, 8, .inherited = true}, + {field_guard, 2, .inherited = true}, + [224] = + {field_at_end, 6}, + {field_at_end, 7}, + {field_at_end, 8, .inherited = true}, + {field_designator, 0, .inherited = true}, + [228] = + {field_at_end, 8, .inherited = true}, + {field_guard, 3, .inherited = true}, + [230] = + {field_at_end, 9, .inherited = true}, + {field_name, 1}, + [232] = + {field_at_end, 9, .inherited = true}, + {field_entity, 3}, + {field_name, 1}, + [235] = + {field_at_end, 9, .inherited = true}, + [236] = + {field_at_end, 9, .inherited = true}, + {field_guard, 2, .inherited = true}, + [238] = + {field_at_end, 9, .inherited = true}, + {field_guard, 3, .inherited = true}, + [240] = + {field_at_end, 10, .inherited = true}, + {field_entity, 3}, + {field_name, 1}, + [243] = + {field_at_end, 10, .inherited = true}, + [244] = + {field_at_end, 10, .inherited = true}, + {field_guard, 2, .inherited = true}, + [246] = + {field_at_end, 10, .inherited = true}, + {field_guard, 3, .inherited = true}, + [248] = + {field_at_end, 11, .inherited = true}, + [249] = + {field_at_end, 11, .inherited = true}, + {field_guard, 2, .inherited = true}, + [251] = + {field_at_end, 11, .inherited = true}, + {field_guard, 3, .inherited = true}, + [253] = + {field_at_end, 12, .inherited = true}, + [254] = + {field_at_end, 12, .inherited = true}, + {field_guard, 3, .inherited = true}, + [256] = + {field_at_end, 13, .inherited = true}, +}; + +static const TSSymbol ts_alias_sequences[PRODUCTION_ID_COUNT][MAX_ALIAS_SEQUENCE_LENGTH] = { + [0] = {0}, + [1] = { + [0] = alias_sym_extended_simple_name, + }, + [4] = { + [0] = alias_sym_simple_concurrent_signal_assignment, + }, + [5] = { + [0] = alias_sym_conditional_concurrent_signal_assignment, + }, + [6] = { + [0] = alias_sym_selected_concurrent_signal_assignment, + }, + [7] = { + [0] = alias_sym_operator_symbol, + }, + [10] = { + [0] = alias_sym_expression, + }, + [11] = { + [0] = sym_simple_expression, + }, + [12] = { + [1] = alias_sym_identifier, + }, + [14] = { + [0] = alias_sym_identifier, + }, + [15] = { + [0] = alias_sym_identifier, + }, + [18] = { + [0] = alias_sym_PSL_Identifier, + }, + [19] = { + [0] = alias_sym_PSL_Sequence_Instance, + }, + [20] = { + [0] = alias_sym_PSL_Property_Instance, + }, + [21] = { + [1] = alias_sym_string_expression, + }, + [22] = { + [1] = alias_sym_conditional_expression, + }, + [32] = { + [2] = alias_sym_identifier, + }, + [34] = { + [1] = alias_sym_identifier, + [2] = alias_sym_subprogram_header, + }, + [35] = { + [2] = alias_sym_subprogram_header, + }, + [36] = { + [1] = alias_sym_expression, + }, + [37] = { + [1] = alias_sym_identifier, + }, + [39] = { + [1] = alias_sym_PSL_Identifier, + }, + [44] = { + [0] = alias_sym_PSL_Number, + }, + [45] = { + [1] = alias_sym_PSL_Boolean, + }, + [47] = { + [0] = alias_sym_PSL_Boolean, + }, + [49] = { + [1] = alias_sym_PSL_Boolean, + }, + [50] = { + [0] = alias_sym_PSL_Boolean, + }, + [52] = { + [0] = alias_sym_conditional_expression, + }, + [55] = { + [0] = alias_sym_expression, + }, + [56] = { + [1] = alias_sym_component_map_aspect, + }, + [59] = { + [1] = alias_sym_severity_expression, + }, + [60] = { + [0] = alias_sym_identifier, + }, + [63] = { + [1] = sym_simple_expression, + }, + [64] = { + [2] = alias_sym_expression, + }, + [66] = { + [0] = sym_simple_expression, + [2] = sym_simple_expression, + }, + [67] = { + [0] = sym_simple_expression, + [2] = sym_simple_expression, + }, + [70] = { + [2] = alias_sym_identifier, + [3] = alias_sym_subprogram_header, + }, + [71] = { + [3] = alias_sym_subprogram_header, + }, + [72] = { + [0] = alias_sym_numeric_type_definition, + }, + [73] = { + [1] = alias_sym_identifier, + }, + [75] = { + [1] = alias_sym_time_expression, + }, + [78] = { + [2] = alias_sym_time_expression, + }, + [79] = { + [2] = alias_sym_conditional_expression, + }, + [80] = { + [2] = alias_sym_PSL_Boolean, + }, + [83] = { + [0] = alias_sym_PSL_Any_Type, + }, + [84] = { + [0] = alias_sym_PSL_Boolean, + [2] = alias_sym_PSL_Boolean, + }, + [85] = { + [0] = alias_sym_PSL_Boolean, + }, + [86] = { + [1] = alias_sym_conditional_expression, + }, + [87] = { + [2] = alias_sym_PSL_Boolean, + }, + [88] = { + [2] = alias_sym_PSL_Boolean, + }, + [95] = { + [2] = alias_sym_expression, + }, + [97] = { + [1] = alias_sym_time_expression, + }, + [100] = { + [2] = alias_sym_PSL_Boolean, + }, + [102] = { + [2] = alias_sym_component_map_aspect, + }, + [105] = { + [0] = alias_sym_array_element_constraint, + }, + [106] = { + [0] = alias_sym_identifier, + }, + [108] = { + [3] = alias_sym_conditional_expression, + }, + [110] = { + [1] = alias_sym_PSL_Identifier, + [3] = alias_sym_PSL_Boolean, + }, + [112] = { + [2] = alias_sym_PSL_Any_Type, + }, + [113] = { + [1] = alias_sym_PSL_Boolean, + }, + [115] = { + [3] = alias_sym_PSL_Boolean, + }, + [117] = { + [0] = alias_sym_PSL_Boolean, + [3] = alias_sym_PSL_Boolean, + }, + [118] = { + [0] = alias_sym_PSL_Boolean, + }, + [119] = { + [3] = alias_sym_PSL_Boolean, + }, + [122] = { + [0] = alias_sym_semicolon, + }, + [123] = { + [0] = alias_sym_any, + }, + [126] = { + [2] = alias_sym_expression, + }, + [127] = { + [3] = alias_sym_expression, + }, + [128] = { + [3] = alias_sym_time_expression, + }, + [129] = { + [3] = alias_sym_expression, + }, + [131] = { + [1] = alias_sym_identifier, + }, + [132] = { + [1] = alias_sym_identifier, + [3] = alias_sym_entity_header, + }, + [134] = { + [3] = alias_sym_entity_header, + }, + [136] = { + [1] = alias_sym_identifier, + }, + [139] = { + [1] = alias_sym_identifier, + }, + [140] = { + [1] = alias_sym_identifier, + [3] = alias_sym_package_header, + }, + [142] = { + [3] = alias_sym_package_header, + }, + [144] = { + [0] = alias_sym_string_expression, + }, + [145] = { + [1] = alias_sym_identifier, + [2] = alias_sym_component_header, + }, + [146] = { + [2] = alias_sym_component_header, + }, + [150] = { + [2] = alias_sym_expression, + }, + [151] = { + [4] = alias_sym_time_expression, + }, + [152] = { + [4] = alias_sym_conditional_expression, + }, + [153] = { + [2] = alias_sym_conditional_expression, + [4] = alias_sym_time_expression, + }, + [154] = { + [1] = alias_sym_PSL_Any_Type, + }, + [155] = { + [0] = alias_sym_PSL_Any_Type, + [2] = alias_sym_PSL_Any_Type, + }, + [156] = { + [0] = alias_sym_PSL_Any_Type, + }, + [157] = { + [2] = alias_sym_PSL_Any_Type, + }, + [158] = { + [2] = alias_sym_PSL_Boolean, + }, + [160] = { + [2] = alias_sym_PSL_Boolean, + }, + [162] = { + [0] = alias_sym_PSL_Boolean, + [4] = alias_sym_PSL_Boolean, + }, + [163] = { + [0] = alias_sym_PSL_Boolean, + }, + [164] = { + [4] = alias_sym_PSL_Boolean, + }, + [167] = { + [1] = alias_sym_block_header, + }, + [168] = { + [2] = alias_sym_PSL_Boolean, + [4] = alias_sym_PSL_Boolean, + }, + [169] = { + [4] = alias_sym_PSL_Boolean, + }, + [172] = { + [3] = alias_sym_expression, + }, + [173] = { + [4] = alias_sym_expression, + }, + [174] = { + [4] = alias_sym_expression, + }, + [175] = { + [1] = alias_sym_identifier, + }, + [176] = { + [1] = alias_sym_identifier, + [3] = alias_sym_entity_header, + }, + [178] = { + [3] = alias_sym_entity_header, + }, + [179] = { + [1] = alias_sym_identifier, + }, + [183] = { + [4] = alias_sym_expression, + }, + [184] = { + [2] = alias_sym_identifier, + }, + [186] = { + [1] = alias_sym_identifier, + [5] = alias_sym_subprogram_map_aspect, + }, + [187] = { + [5] = alias_sym_subprogram_map_aspect, + }, + [189] = { + [1] = alias_sym_identifier, + [5] = alias_sym_package_map_aspect, + }, + [190] = { + [1] = alias_sym_identifier, + [3] = alias_sym_package_header, + }, + [191] = { + [5] = alias_sym_package_map_aspect, + }, + [192] = { + [3] = alias_sym_package_header, + }, + [197] = { + [5] = alias_sym_expression, + }, + [198] = { + [1] = alias_sym_identifier, + [3] = alias_sym_component_header, + }, + [199] = { + [1] = alias_sym_identifier, + [2] = alias_sym_component_header, + }, + [200] = { + [3] = alias_sym_component_header, + }, + [201] = { + [2] = alias_sym_component_header, + }, + [203] = { + [4] = alias_sym_PSL_Boolean, + }, + [205] = { + [1] = alias_sym_expression, + }, + [206] = { + [1] = alias_sym_expression, + }, + [207] = { + [2] = alias_sym_block_header, + }, + [208] = { + [1] = alias_sym_block_header, + }, + [213] = { + [5] = alias_sym_expression, + }, + [214] = { + [5] = alias_sym_time_expression, + }, + [215] = { + [5] = alias_sym_conditional_expression, + }, + [216] = { + [3] = alias_sym_conditional_expression, + [5] = alias_sym_time_expression, + }, + [217] = { + [3] = alias_sym_PSL_Boolean, + [5] = alias_sym_PSL_Boolean, + }, + [218] = { + [3] = alias_sym_PSL_Boolean, + }, + [219] = { + [5] = alias_sym_PSL_Boolean, + }, + [220] = { + [5] = alias_sym_expression, + }, + [221] = { + [1] = alias_sym_identifier, + }, + [222] = { + [1] = alias_sym_identifier, + [3] = alias_sym_entity_header, + }, + [224] = { + [3] = alias_sym_entity_header, + }, + [225] = { + [1] = alias_sym_identifier, + }, + [228] = { + [2] = alias_sym_identifier, + [6] = alias_sym_subprogram_map_aspect, + }, + [229] = { + [6] = alias_sym_subprogram_map_aspect, + }, + [230] = { + [1] = alias_sym_identifier, + [6] = alias_sym_subprogram_map_aspect, + }, + [231] = { + [6] = alias_sym_subprogram_map_aspect, + }, + [233] = { + [1] = alias_sym_identifier, + [3] = alias_sym_package_header, + }, + [234] = { + [3] = alias_sym_package_header, + }, + [235] = { + [1] = alias_sym_file_open_kind, + }, + [236] = { + [1] = alias_sym_identifier, + [3] = alias_sym_component_header, + }, + [237] = { + [3] = alias_sym_component_header, + }, + [238] = { + [1] = alias_sym_identifier, + }, + [240] = { + [1] = alias_sym_PSL_Identifier, + [6] = alias_sym_PSL_Boolean, + }, + [245] = { + [4] = alias_sym_conditional_expression, + [6] = alias_sym_time_expression, + }, + [246] = { + [5] = alias_sym_PSL_Boolean, + }, + [248] = { + [1] = alias_sym_expression, + }, + [249] = { + [2] = alias_sym_expression, + }, + [250] = { + [1] = alias_sym_expression, + }, + [251] = { + [2] = alias_sym_block_header, + }, + [253] = { + [1] = alias_sym_block_header, + }, + [259] = { + [2] = alias_sym_expression, + }, + [260] = { + [3] = alias_sym_expression, + }, + [261] = { + [3] = alias_sym_block_header, + }, + [262] = { + [6] = alias_sym_expression, + }, + [263] = { + [1] = alias_sym_identifier, + }, + [264] = { + [1] = alias_sym_identifier, + [3] = alias_sym_entity_header, + }, + [266] = { + [3] = alias_sym_entity_header, + }, + [267] = { + [1] = alias_sym_identifier, + }, + [269] = { + [2] = alias_sym_identifier, + [7] = alias_sym_subprogram_map_aspect, + }, + [270] = { + [7] = alias_sym_subprogram_map_aspect, + }, + [272] = { + [1] = alias_sym_identifier, + [3] = alias_sym_package_header, + }, + [273] = { + [3] = alias_sym_package_header, + }, + [279] = { + [2] = alias_sym_expression, + }, + [280] = { + [1] = alias_sym_expression, + }, + [281] = { + [2] = alias_sym_block_header, + }, + [283] = { + [4] = alias_sym_block_header, + }, + [284] = { + [1] = alias_sym_block_header, + }, + [288] = { + [5] = alias_sym_conditional_expression, + [7] = alias_sym_time_expression, + }, + [289] = { + [2] = alias_sym_expression, + }, + [290] = { + [3] = alias_sym_expression, + }, + [291] = { + [3] = alias_sym_block_header, + }, + [293] = { + [1] = alias_sym_identifier, + }, + [294] = { + [1] = alias_sym_identifier, + [3] = alias_sym_entity_header, + }, + [296] = { + [3] = alias_sym_entity_header, + }, + [297] = { + [1] = alias_sym_identifier, + }, + [299] = { + [1] = alias_sym_block_specification, + }, + [302] = { + [0] = alias_sym_identifier, + }, + [305] = { + [2] = alias_sym_expression, + }, + [306] = { + [2] = alias_sym_block_header, + }, + [308] = { + [5] = alias_sym_block_header, + }, + [309] = { + [4] = alias_sym_block_header, + }, + [311] = { + [3] = alias_sym_expression, + }, + [312] = { + [3] = alias_sym_block_header, + }, + [314] = { + [5] = alias_sym_block_header, + }, + [315] = { + [1] = alias_sym_identifier, + [3] = alias_sym_entity_header, + }, + [316] = { + [3] = alias_sym_entity_header, + }, + [317] = { + [1] = alias_sym_identifier, + }, + [321] = { + [5] = alias_sym_block_header, + }, + [322] = { + [4] = alias_sym_block_header, + }, + [323] = { + [3] = alias_sym_expression, + }, + [324] = { + [3] = alias_sym_block_header, + }, + [326] = { + [6] = alias_sym_block_header, + }, + [327] = { + [5] = alias_sym_block_header, + }, + [328] = { + [1] = alias_sym_identifier, + }, + [332] = { + [5] = alias_sym_block_header, + }, + [333] = { + [4] = alias_sym_block_header, + }, + [335] = { + [6] = alias_sym_block_header, + }, + [336] = { + [5] = alias_sym_block_header, + }, + [338] = { + [5] = alias_sym_block_header, + }, + [340] = { + [6] = alias_sym_block_header, + }, + [341] = { + [5] = alias_sym_block_header, + }, + [343] = { + [6] = alias_sym_block_header, + }, +}; + +static const uint16_t ts_non_terminal_alias_map[] = { + sym_range_constraint, 2, + sym_range_constraint, + alias_sym_numeric_type_definition, + sym__header, 9, + sym__header, + alias_sym_block_header, + alias_sym_component_header, + alias_sym_component_map_aspect, + alias_sym_entity_header, + alias_sym_package_header, + alias_sym_package_map_aspect, + alias_sym_subprogram_header, + alias_sym_subprogram_map_aspect, + sym_pathname_element, 2, + sym_pathname_element, + alias_sym_block_specification, + sym__expr, 9, + sym__expr, + alias_sym_PSL_Any_Type, + alias_sym_PSL_Boolean, + alias_sym_PSL_Number, + alias_sym_conditional_expression, + alias_sym_expression, + alias_sym_string_expression, + alias_sym_time_expression, + sym_simple_expression, + sym__primary, 3, + sym__primary, + alias_sym_file_open_kind, + alias_sym_severity_expression, + sym_parenthesized_expression, 2, + sym_parenthesized_expression, + alias_sym_expression, + sym_simple_waveform_assignment, 2, + sym_simple_waveform_assignment, + alias_sym_simple_concurrent_signal_assignment, + sym_conditional_waveform_assignment, 2, + sym_conditional_waveform_assignment, + alias_sym_conditional_concurrent_signal_assignment, + sym_selected_waveform_assignment, 2, + sym_selected_waveform_assignment, + alias_sym_selected_concurrent_signal_assignment, + sym_string_literal, 2, + sym_string_literal, + alias_sym_operator_symbol, + sym_PSL_Instance, 3, + sym_PSL_Instance, + alias_sym_PSL_Property_Instance, + alias_sym_PSL_Sequence_Instance, + 0, +}; + +static const TSStateId ts_primary_state_ids[STATE_COUNT] = { + [0] = 0, + [1] = 1, + [2] = 2, + [3] = 3, + [4] = 4, + [5] = 5, + [6] = 6, + [7] = 7, + [8] = 8, + [9] = 9, + [10] = 10, + [11] = 11, + [12] = 12, + [13] = 13, + [14] = 14, + [15] = 13, + [16] = 16, + [17] = 17, + [18] = 18, + [19] = 18, + [20] = 20, + [21] = 21, + [22] = 22, + [23] = 23, + [24] = 24, + [25] = 25, + [26] = 26, + [27] = 27, + [28] = 28, + [29] = 28, + [30] = 30, + [31] = 31, + [32] = 32, + [33] = 32, + [34] = 31, + [35] = 31, + [36] = 32, + [37] = 37, + [38] = 38, + [39] = 39, + [40] = 40, + [41] = 41, + [42] = 42, + [43] = 40, + [44] = 44, + [45] = 42, + [46] = 46, + [47] = 47, + [48] = 48, + [49] = 41, + [50] = 41, + [51] = 48, + [52] = 40, + [53] = 53, + [54] = 54, + [55] = 47, + [56] = 39, + [57] = 37, + [58] = 38, + [59] = 48, + [60] = 44, + [61] = 54, + [62] = 62, + [63] = 62, + [64] = 64, + [65] = 62, + [66] = 64, + [67] = 64, + [68] = 68, + [69] = 69, + [70] = 68, + [71] = 69, + [72] = 68, + [73] = 69, + [74] = 74, + [75] = 75, + [76] = 76, + [77] = 77, + [78] = 78, + [79] = 75, + [80] = 80, + [81] = 81, + [82] = 80, + [83] = 83, + [84] = 84, + [85] = 85, + [86] = 80, + [87] = 87, + [88] = 88, + [89] = 89, + [90] = 90, + [91] = 78, + [92] = 89, + [93] = 74, + [94] = 87, + [95] = 87, + [96] = 96, + [97] = 97, + [98] = 98, + [99] = 88, + [100] = 96, + [101] = 88, + [102] = 97, + [103] = 83, + [104] = 90, + [105] = 77, + [106] = 97, + [107] = 96, + [108] = 97, + [109] = 74, + [110] = 89, + [111] = 90, + [112] = 84, + [113] = 90, + [114] = 83, + [115] = 77, + [116] = 75, + [117] = 80, + [118] = 84, + [119] = 78, + [120] = 120, + [121] = 121, + [122] = 122, + [123] = 123, + [124] = 121, + [125] = 125, + [126] = 126, + [127] = 126, + [128] = 121, + [129] = 129, + [130] = 130, + [131] = 131, + [132] = 132, + [133] = 133, + [134] = 134, + [135] = 135, + [136] = 136, + [137] = 136, + [138] = 138, + [139] = 139, + [140] = 138, + [141] = 141, + [142] = 142, + [143] = 143, + [144] = 144, + [145] = 145, + [146] = 146, + [147] = 147, + [148] = 139, + [149] = 149, + [150] = 149, + [151] = 151, + [152] = 152, + [153] = 141, + [154] = 154, + [155] = 155, + [156] = 145, + [157] = 154, + [158] = 151, + [159] = 144, + [160] = 152, + [161] = 142, + [162] = 146, + [163] = 143, + [164] = 164, + [165] = 164, + [166] = 155, + [167] = 167, + [168] = 168, + [169] = 168, + [170] = 167, + [171] = 171, + [172] = 172, + [173] = 173, + [174] = 174, + [175] = 175, + [176] = 176, + [177] = 177, + [178] = 178, + [179] = 179, + [180] = 171, + [181] = 181, + [182] = 182, + [183] = 179, + [184] = 176, + [185] = 185, + [186] = 177, + [187] = 187, + [188] = 188, + [189] = 189, + [190] = 175, + [191] = 191, + [192] = 187, + [193] = 185, + [194] = 178, + [195] = 173, + [196] = 188, + [197] = 189, + [198] = 172, + [199] = 181, + [200] = 191, + [201] = 201, + [202] = 202, + [203] = 203, + [204] = 204, + [205] = 204, + [206] = 201, + [207] = 203, + [208] = 202, + [209] = 209, + [210] = 210, + [211] = 211, + [212] = 211, + [213] = 213, + [214] = 214, + [215] = 215, + [216] = 213, + [217] = 217, + [218] = 218, + [219] = 218, + [220] = 220, + [221] = 220, + [222] = 215, + [223] = 217, + [224] = 224, + [225] = 224, + [226] = 226, + [227] = 227, + [228] = 228, + [229] = 227, + [230] = 227, + [231] = 227, + [232] = 232, + [233] = 232, + [234] = 227, + [235] = 235, + [236] = 226, + [237] = 237, + [238] = 227, + [239] = 239, + [240] = 237, + [241] = 241, + [242] = 242, + [243] = 243, + [244] = 244, + [245] = 245, + [246] = 246, + [247] = 247, + [248] = 248, + [249] = 249, + [250] = 246, + [251] = 248, + [252] = 241, + [253] = 253, + [254] = 254, + [255] = 255, + [256] = 256, + [257] = 257, + [258] = 257, + [259] = 254, + [260] = 260, + [261] = 260, + [262] = 262, + [263] = 263, + [264] = 264, + [265] = 265, + [266] = 266, + [267] = 267, + [268] = 268, + [269] = 269, + [270] = 270, + [271] = 271, + [272] = 272, + [273] = 273, + [274] = 274, + [275] = 275, + [276] = 276, + [277] = 277, + [278] = 275, + [279] = 279, + [280] = 280, + [281] = 281, + [282] = 262, + [283] = 283, + [284] = 284, + [285] = 277, + [286] = 276, + [287] = 280, + [288] = 270, + [289] = 289, + [290] = 290, + [291] = 291, + [292] = 292, + [293] = 293, + [294] = 294, + [295] = 295, + [296] = 296, + [297] = 297, + [298] = 298, + [299] = 299, + [300] = 300, + [301] = 301, + [302] = 302, + [303] = 303, + [304] = 304, + [305] = 305, + [306] = 301, + [307] = 307, + [308] = 308, + [309] = 309, + [310] = 310, + [311] = 281, + [312] = 312, + [313] = 313, + [314] = 292, + [315] = 274, + [316] = 316, + [317] = 317, + [318] = 264, + [319] = 319, + [320] = 269, + [321] = 272, + [322] = 266, + [323] = 323, + [324] = 324, + [325] = 325, + [326] = 325, + [327] = 293, + [328] = 328, + [329] = 329, + [330] = 305, + [331] = 331, + [332] = 332, + [333] = 333, + [334] = 296, + [335] = 332, + [336] = 291, + [337] = 337, + [338] = 294, + [339] = 339, + [340] = 340, + [341] = 341, + [342] = 267, + [343] = 343, + [344] = 298, + [345] = 302, + [346] = 295, + [347] = 347, + [348] = 316, + [349] = 263, + [350] = 313, + [351] = 319, + [352] = 352, + [353] = 329, + [354] = 337, + [355] = 290, + [356] = 283, + [357] = 357, + [358] = 279, + [359] = 359, + [360] = 360, + [361] = 359, + [362] = 360, + [363] = 307, + [364] = 333, + [365] = 284, + [366] = 357, + [367] = 324, + [368] = 273, + [369] = 369, + [370] = 265, + [371] = 268, + [372] = 369, + [373] = 317, + [374] = 323, + [375] = 375, + [376] = 375, + [377] = 377, + [378] = 375, + [379] = 379, + [380] = 377, + [381] = 271, + [382] = 382, + [383] = 383, + [384] = 384, + [385] = 385, + [386] = 386, + [387] = 377, + [388] = 388, + [389] = 389, + [390] = 390, + [391] = 391, + [392] = 392, + [393] = 393, + [394] = 379, + [395] = 383, + [396] = 382, + [397] = 375, + [398] = 385, + [399] = 399, + [400] = 400, + [401] = 401, + [402] = 402, + [403] = 377, + [404] = 404, + [405] = 392, + [406] = 399, + [407] = 407, + [408] = 388, + [409] = 409, + [410] = 377, + [411] = 393, + [412] = 401, + [413] = 391, + [414] = 400, + [415] = 390, + [416] = 379, + [417] = 386, + [418] = 407, + [419] = 409, + [420] = 402, + [421] = 379, + [422] = 389, + [423] = 423, + [424] = 424, + [425] = 423, + [426] = 426, + [427] = 427, + [428] = 428, + [429] = 429, + [430] = 423, + [431] = 431, + [432] = 429, + [433] = 423, + [434] = 434, + [435] = 435, + [436] = 435, + [437] = 437, + [438] = 423, + [439] = 439, + [440] = 440, + [441] = 441, + [442] = 441, + [443] = 443, + [444] = 444, + [445] = 444, + [446] = 446, + [447] = 447, + [448] = 446, + [449] = 440, + [450] = 450, + [451] = 451, + [452] = 451, + [453] = 451, + [454] = 451, + [455] = 455, + [456] = 451, + [457] = 457, + [458] = 458, + [459] = 459, + [460] = 457, + [461] = 457, + [462] = 457, + [463] = 457, + [464] = 457, + [465] = 465, + [466] = 466, + [467] = 467, + [468] = 468, + [469] = 469, + [470] = 470, + [471] = 471, + [472] = 470, + [473] = 473, + [474] = 474, + [475] = 475, + [476] = 476, + [477] = 477, + [478] = 478, + [479] = 479, + [480] = 480, + [481] = 481, + [482] = 481, + [483] = 483, + [484] = 480, + [485] = 479, + [486] = 486, + [487] = 478, + [488] = 488, + [489] = 483, + [490] = 490, + [491] = 491, + [492] = 491, + [493] = 493, + [494] = 494, + [495] = 494, + [496] = 496, + [497] = 497, + [498] = 498, + [499] = 499, + [500] = 500, + [501] = 501, + [502] = 502, + [503] = 503, + [504] = 504, + [505] = 505, + [506] = 501, + [507] = 507, + [508] = 508, + [509] = 509, + [510] = 502, + [511] = 469, + [512] = 512, + [513] = 497, + [514] = 508, + [515] = 515, + [516] = 516, + [517] = 498, + [518] = 503, + [519] = 519, + [520] = 500, + [521] = 515, + [522] = 519, + [523] = 499, + [524] = 466, + [525] = 525, + [526] = 526, + [527] = 512, + [528] = 507, + [529] = 504, + [530] = 516, + [531] = 459, + [532] = 532, + [533] = 533, + [534] = 534, + [535] = 532, + [536] = 536, + [537] = 537, + [538] = 532, + [539] = 539, + [540] = 532, + [541] = 541, + [542] = 542, + [543] = 532, + [544] = 532, + [545] = 545, + [546] = 546, + [547] = 547, + [548] = 547, + [549] = 547, + [550] = 547, + [551] = 545, + [552] = 547, + [553] = 547, + [554] = 545, + [555] = 555, + [556] = 547, + [557] = 545, + [558] = 545, + [559] = 547, + [560] = 560, + [561] = 547, + [562] = 547, + [563] = 547, + [564] = 547, + [565] = 547, + [566] = 547, + [567] = 547, + [568] = 545, + [569] = 547, + [570] = 547, + [571] = 571, + [572] = 526, + [573] = 505, + [574] = 509, + [575] = 546, + [576] = 571, + [577] = 546, + [578] = 578, + [579] = 579, + [580] = 580, + [581] = 581, + [582] = 582, + [583] = 583, + [584] = 584, + [585] = 585, + [586] = 586, + [587] = 581, + [588] = 588, + [589] = 589, + [590] = 590, + [591] = 591, + [592] = 592, + [593] = 579, + [594] = 594, + [595] = 595, + [596] = 596, + [597] = 597, + [598] = 598, + [599] = 599, + [600] = 600, + [601] = 601, + [602] = 595, + [603] = 601, + [604] = 594, + [605] = 605, + [606] = 580, + [607] = 607, + [608] = 608, + [609] = 609, + [610] = 599, + [611] = 611, + [612] = 612, + [613] = 582, + [614] = 614, + [615] = 615, + [616] = 616, + [617] = 617, + [618] = 618, + [619] = 605, + [620] = 620, + [621] = 621, + [622] = 622, + [623] = 623, + [624] = 624, + [625] = 625, + [626] = 626, + [627] = 627, + [628] = 628, + [629] = 629, + [630] = 588, + [631] = 631, + [632] = 632, + [633] = 584, + [634] = 634, + [635] = 635, + [636] = 636, + [637] = 590, + [638] = 638, + [639] = 639, + [640] = 636, + [641] = 641, + [642] = 624, + [643] = 583, + [644] = 644, + [645] = 632, + [646] = 629, + [647] = 600, + [648] = 648, + [649] = 649, + [650] = 650, + [651] = 651, + [652] = 652, + [653] = 653, + [654] = 654, + [655] = 655, + [656] = 656, + [657] = 657, + [658] = 658, + [659] = 659, + [660] = 660, + [661] = 661, + [662] = 662, + [663] = 663, + [664] = 650, + [665] = 665, + [666] = 659, + [667] = 667, + [668] = 668, + [669] = 650, + [670] = 656, + [671] = 671, + [672] = 657, + [673] = 668, + [674] = 674, + [675] = 675, + [676] = 676, + [677] = 677, + [678] = 678, + [679] = 655, + [680] = 680, + [681] = 681, + [682] = 682, + [683] = 683, + [684] = 684, + [685] = 685, + [686] = 686, + [687] = 687, + [688] = 688, + [689] = 682, + [690] = 690, + [691] = 691, + [692] = 680, + [693] = 693, + [694] = 688, + [695] = 687, + [696] = 696, + [697] = 677, + [698] = 698, + [699] = 699, + [700] = 671, + [701] = 680, + [702] = 668, + [703] = 682, + [704] = 688, + [705] = 687, + [706] = 667, + [707] = 707, + [708] = 677, + [709] = 659, + [710] = 671, + [711] = 668, + [712] = 665, + [713] = 650, + [714] = 667, + [715] = 687, + [716] = 663, + [717] = 688, + [718] = 682, + [719] = 661, + [720] = 680, + [721] = 677, + [722] = 722, + [723] = 660, + [724] = 658, + [725] = 657, + [726] = 656, + [727] = 668, + [728] = 667, + [729] = 729, + [730] = 730, + [731] = 659, + [732] = 658, + [733] = 659, + [734] = 671, + [735] = 650, + [736] = 663, + [737] = 661, + [738] = 738, + [739] = 660, + [740] = 658, + [741] = 665, + [742] = 650, + [743] = 663, + [744] = 661, + [745] = 657, + [746] = 687, + [747] = 656, + [748] = 748, + [749] = 749, + [750] = 688, + [751] = 656, + [752] = 660, + [753] = 682, + [754] = 658, + [755] = 755, + [756] = 657, + [757] = 656, + [758] = 758, + [759] = 759, + [760] = 656, + [761] = 657, + [762] = 680, + [763] = 658, + [764] = 660, + [765] = 680, + [766] = 682, + [767] = 688, + [768] = 687, + [769] = 769, + [770] = 661, + [771] = 663, + [772] = 650, + [773] = 665, + [774] = 698, + [775] = 696, + [776] = 693, + [777] = 777, + [778] = 722, + [779] = 680, + [780] = 729, + [781] = 730, + [782] = 677, + [783] = 682, + [784] = 671, + [785] = 688, + [786] = 687, + [787] = 665, + [788] = 668, + [789] = 755, + [790] = 667, + [791] = 659, + [792] = 659, + [793] = 665, + [794] = 650, + [795] = 663, + [796] = 796, + [797] = 797, + [798] = 798, + [799] = 661, + [800] = 800, + [801] = 660, + [802] = 658, + [803] = 667, + [804] = 677, + [805] = 657, + [806] = 668, + [807] = 656, + [808] = 671, + [809] = 657, + [810] = 677, + [811] = 811, + [812] = 759, + [813] = 680, + [814] = 682, + [815] = 815, + [816] = 688, + [817] = 687, + [818] = 687, + [819] = 677, + [820] = 671, + [821] = 668, + [822] = 667, + [823] = 656, + [824] = 657, + [825] = 659, + [826] = 658, + [827] = 660, + [828] = 828, + [829] = 829, + [830] = 829, + [831] = 661, + [832] = 665, + [833] = 650, + [834] = 663, + [835] = 663, + [836] = 650, + [837] = 665, + [838] = 777, + [839] = 661, + [840] = 660, + [841] = 659, + [842] = 667, + [843] = 665, + [844] = 828, + [845] = 658, + [846] = 668, + [847] = 656, + [848] = 657, + [849] = 671, + [850] = 850, + [851] = 656, + [852] = 680, + [853] = 682, + [854] = 688, + [855] = 677, + [856] = 687, + [857] = 676, + [858] = 675, + [859] = 859, + [860] = 674, + [861] = 749, + [862] = 657, + [863] = 656, + [864] = 677, + [865] = 652, + [866] = 671, + [867] = 668, + [868] = 667, + [869] = 828, + [870] = 659, + [871] = 665, + [872] = 671, + [873] = 663, + [874] = 650, + [875] = 663, + [876] = 661, + [877] = 660, + [878] = 658, + [879] = 657, + [880] = 656, + [881] = 661, + [882] = 657, + [883] = 828, + [884] = 884, + [885] = 680, + [886] = 658, + [887] = 660, + [888] = 888, + [889] = 661, + [890] = 663, + [891] = 650, + [892] = 665, + [893] = 707, + [894] = 653, + [895] = 680, + [896] = 656, + [897] = 682, + [898] = 659, + [899] = 657, + [900] = 658, + [901] = 688, + [902] = 660, + [903] = 656, + [904] = 657, + [905] = 658, + [906] = 660, + [907] = 661, + [908] = 687, + [909] = 667, + [910] = 663, + [911] = 650, + [912] = 665, + [913] = 659, + [914] = 828, + [915] = 667, + [916] = 687, + [917] = 668, + [918] = 671, + [919] = 688, + [920] = 668, + [921] = 921, + [922] = 922, + [923] = 682, + [924] = 677, + [925] = 680, + [926] = 671, + [927] = 829, + [928] = 677, + [929] = 661, + [930] = 930, + [931] = 828, + [932] = 932, + [933] = 663, + [934] = 650, + [935] = 687, + [936] = 665, + [937] = 688, + [938] = 682, + [939] = 680, + [940] = 677, + [941] = 677, + [942] = 671, + [943] = 668, + [944] = 659, + [945] = 667, + [946] = 659, + [947] = 671, + [948] = 798, + [949] = 665, + [950] = 797, + [951] = 796, + [952] = 663, + [953] = 656, + [954] = 657, + [955] = 661, + [956] = 660, + [957] = 687, + [958] = 688, + [959] = 658, + [960] = 657, + [961] = 656, + [962] = 668, + [963] = 682, + [964] = 680, + [965] = 667, + [966] = 688, + [967] = 660, + [968] = 667, + [969] = 682, + [970] = 829, + [971] = 971, + [972] = 758, + [973] = 973, + [974] = 974, + [975] = 975, + [976] = 976, + [977] = 977, + [978] = 978, + [979] = 979, + [980] = 980, + [981] = 981, + [982] = 982, + [983] = 983, + [984] = 984, + [985] = 985, + [986] = 986, + [987] = 987, + [988] = 988, + [989] = 989, + [990] = 990, + [991] = 991, + [992] = 992, + [993] = 993, + [994] = 994, + [995] = 995, + [996] = 996, + [997] = 997, + [998] = 998, + [999] = 999, + [1000] = 1000, + [1001] = 1001, + [1002] = 1002, + [1003] = 1003, + [1004] = 1004, + [1005] = 1005, + [1006] = 1006, + [1007] = 1007, + [1008] = 1008, + [1009] = 1009, + [1010] = 1010, + [1011] = 1011, + [1012] = 1012, + [1013] = 1013, + [1014] = 1014, + [1015] = 1015, + [1016] = 1016, + [1017] = 1017, + [1018] = 1018, + [1019] = 1019, + [1020] = 1020, + [1021] = 1021, + [1022] = 1022, + [1023] = 1023, + [1024] = 1024, + [1025] = 1025, + [1026] = 1026, + [1027] = 1027, + [1028] = 1028, + [1029] = 1029, + [1030] = 1030, + [1031] = 1031, + [1032] = 1032, + [1033] = 1033, + [1034] = 1034, + [1035] = 1035, + [1036] = 1036, + [1037] = 1037, + [1038] = 1038, + [1039] = 1039, + [1040] = 1040, + [1041] = 1041, + [1042] = 1042, + [1043] = 1043, + [1044] = 1044, + [1045] = 1045, + [1046] = 1046, + [1047] = 1047, + [1048] = 1048, + [1049] = 1049, + [1050] = 1050, + [1051] = 1051, + [1052] = 1052, + [1053] = 1053, + [1054] = 1054, + [1055] = 1055, + [1056] = 1056, + [1057] = 1057, + [1058] = 1058, + [1059] = 1059, + [1060] = 1060, + [1061] = 1061, + [1062] = 1062, + [1063] = 1063, + [1064] = 1064, + [1065] = 1065, + [1066] = 1066, + [1067] = 1067, + [1068] = 1068, + [1069] = 1069, + [1070] = 1070, + [1071] = 1071, + [1072] = 1072, + [1073] = 1073, + [1074] = 1074, + [1075] = 1075, + [1076] = 1076, + [1077] = 1077, + [1078] = 1078, + [1079] = 1079, + [1080] = 546, + [1081] = 1081, + [1082] = 1082, + [1083] = 1083, + [1084] = 1084, + [1085] = 1085, + [1086] = 1086, + [1087] = 1087, + [1088] = 1088, + [1089] = 1089, + [1090] = 1090, + [1091] = 1091, + [1092] = 1092, + [1093] = 1093, + [1094] = 1094, + [1095] = 1095, + [1096] = 1096, + [1097] = 1097, + [1098] = 1098, + [1099] = 1099, + [1100] = 1100, + [1101] = 1101, + [1102] = 1102, + [1103] = 1103, + [1104] = 1104, + [1105] = 1105, + [1106] = 1106, + [1107] = 1107, + [1108] = 1108, + [1109] = 1109, + [1110] = 1110, + [1111] = 1111, + [1112] = 1112, + [1113] = 1113, + [1114] = 1114, + [1115] = 1115, + [1116] = 1116, + [1117] = 1117, + [1118] = 1118, + [1119] = 1119, + [1120] = 1120, + [1121] = 1121, + [1122] = 1122, + [1123] = 1123, + [1124] = 1124, + [1125] = 1125, + [1126] = 1126, + [1127] = 1127, + [1128] = 1128, + [1129] = 1129, + [1130] = 1130, + [1131] = 1131, + [1132] = 1132, + [1133] = 1133, + [1134] = 1134, + [1135] = 1135, + [1136] = 1136, + [1137] = 1137, + [1138] = 1138, + [1139] = 1139, + [1140] = 1140, + [1141] = 1141, + [1142] = 1142, + [1143] = 1143, + [1144] = 1144, + [1145] = 1145, + [1146] = 1146, + [1147] = 1147, + [1148] = 1148, + [1149] = 1149, + [1150] = 1150, + [1151] = 1151, + [1152] = 1152, + [1153] = 1153, + [1154] = 1154, + [1155] = 1155, + [1156] = 1156, + [1157] = 1157, + [1158] = 1158, + [1159] = 1159, + [1160] = 1160, + [1161] = 1161, + [1162] = 1162, + [1163] = 1163, + [1164] = 1164, + [1165] = 1165, + [1166] = 1166, + [1167] = 1167, + [1168] = 1168, + [1169] = 1169, + [1170] = 1170, + [1171] = 1171, + [1172] = 1172, + [1173] = 1173, + [1174] = 1174, + [1175] = 1175, + [1176] = 1176, + [1177] = 1177, + [1178] = 1178, + [1179] = 1179, + [1180] = 1180, + [1181] = 1181, + [1182] = 1182, + [1183] = 1183, + [1184] = 1184, + [1185] = 1185, + [1186] = 1186, + [1187] = 1187, + [1188] = 1188, + [1189] = 1189, + [1190] = 1190, + [1191] = 1191, + [1192] = 1192, + [1193] = 1193, + [1194] = 1194, + [1195] = 1195, + [1196] = 1196, + [1197] = 1197, + [1198] = 1198, + [1199] = 1199, + [1200] = 1200, + [1201] = 1201, + [1202] = 1202, + [1203] = 1203, + [1204] = 1204, + [1205] = 1205, + [1206] = 1206, + [1207] = 1207, + [1208] = 1208, + [1209] = 1209, + [1210] = 1210, + [1211] = 1211, + [1212] = 1212, + [1213] = 1213, + [1214] = 1214, + [1215] = 1215, + [1216] = 1216, + [1217] = 1217, + [1218] = 1218, + [1219] = 1219, + [1220] = 1220, + [1221] = 1221, + [1222] = 1222, + [1223] = 1223, + [1224] = 1224, + [1225] = 1225, + [1226] = 1226, + [1227] = 1227, + [1228] = 1228, + [1229] = 1229, + [1230] = 1230, + [1231] = 1231, + [1232] = 1232, + [1233] = 1233, + [1234] = 1234, + [1235] = 1235, + [1236] = 1236, + [1237] = 1237, + [1238] = 1238, + [1239] = 1239, + [1240] = 1240, + [1241] = 1241, + [1242] = 1242, + [1243] = 1243, + [1244] = 1244, + [1245] = 1245, + [1246] = 1246, + [1247] = 1247, + [1248] = 1248, + [1249] = 1249, + [1250] = 1250, + [1251] = 1251, + [1252] = 1252, + [1253] = 1253, + [1254] = 1254, + [1255] = 1255, + [1256] = 1256, + [1257] = 1257, + [1258] = 1258, + [1259] = 1259, + [1260] = 1260, + [1261] = 1261, + [1262] = 1262, + [1263] = 1263, + [1264] = 1264, + [1265] = 1265, + [1266] = 1266, + [1267] = 1267, + [1268] = 1268, + [1269] = 1269, + [1270] = 1270, + [1271] = 1271, + [1272] = 1272, + [1273] = 1273, + [1274] = 1274, + [1275] = 1275, + [1276] = 1276, + [1277] = 1277, + [1278] = 1278, + [1279] = 1279, + [1280] = 1280, + [1281] = 1281, + [1282] = 1282, + [1283] = 1283, + [1284] = 1284, + [1285] = 1285, + [1286] = 1286, + [1287] = 1287, + [1288] = 1288, + [1289] = 1289, + [1290] = 1290, + [1291] = 1291, + [1292] = 1292, + [1293] = 1293, + [1294] = 1294, + [1295] = 1295, + [1296] = 1296, + [1297] = 1297, + [1298] = 1298, + [1299] = 1299, + [1300] = 1300, + [1301] = 1301, + [1302] = 1302, + [1303] = 1303, + [1304] = 1304, + [1305] = 1305, + [1306] = 1306, + [1307] = 1307, + [1308] = 1308, + [1309] = 1309, + [1310] = 1310, + [1311] = 1311, + [1312] = 1312, + [1313] = 1313, + [1314] = 1314, + [1315] = 1315, + [1316] = 1316, + [1317] = 1317, + [1318] = 1318, + [1319] = 1319, + [1320] = 1320, + [1321] = 1321, + [1322] = 1322, + [1323] = 1323, + [1324] = 1324, + [1325] = 1325, + [1326] = 1326, + [1327] = 1327, + [1328] = 1328, + [1329] = 1329, + [1330] = 1330, + [1331] = 1331, + [1332] = 1332, + [1333] = 1333, + [1334] = 1334, + [1335] = 1335, + [1336] = 1336, + [1337] = 1337, + [1338] = 1338, + [1339] = 1339, + [1340] = 1340, + [1341] = 1341, + [1342] = 1342, + [1343] = 1343, + [1344] = 1344, + [1345] = 1345, + [1346] = 1346, + [1347] = 1347, + [1348] = 1348, + [1349] = 1349, + [1350] = 1350, + [1351] = 1351, + [1352] = 1352, + [1353] = 1353, + [1354] = 1354, + [1355] = 1355, + [1356] = 1356, + [1357] = 1357, + [1358] = 1358, + [1359] = 1359, + [1360] = 1360, + [1361] = 1361, + [1362] = 1362, + [1363] = 1363, + [1364] = 1364, + [1365] = 1365, + [1366] = 1366, + [1367] = 1367, + [1368] = 1368, + [1369] = 1369, + [1370] = 1370, + [1371] = 1371, + [1372] = 1372, + [1373] = 1373, + [1374] = 1374, + [1375] = 1375, + [1376] = 1376, + [1377] = 1377, + [1378] = 1378, + [1379] = 1379, + [1380] = 1380, + [1381] = 1381, + [1382] = 1382, + [1383] = 1383, + [1384] = 1384, + [1385] = 1385, + [1386] = 1386, + [1387] = 1387, + [1388] = 1388, + [1389] = 1389, + [1390] = 1390, + [1391] = 1391, + [1392] = 1392, + [1393] = 1393, + [1394] = 1394, + [1395] = 1395, + [1396] = 1396, + [1397] = 1397, + [1398] = 1398, + [1399] = 1399, + [1400] = 1400, + [1401] = 1401, + [1402] = 1402, + [1403] = 1403, + [1404] = 1404, + [1405] = 1405, + [1406] = 1406, + [1407] = 1407, + [1408] = 1408, + [1409] = 1409, + [1410] = 1410, + [1411] = 1411, + [1412] = 1412, + [1413] = 1413, + [1414] = 1414, + [1415] = 1415, + [1416] = 1416, + [1417] = 1417, + [1418] = 1418, + [1419] = 1419, + [1420] = 1420, + [1421] = 1421, + [1422] = 1422, + [1423] = 1423, + [1424] = 1424, + [1425] = 1425, + [1426] = 1426, + [1427] = 1427, + [1428] = 1428, + [1429] = 1429, + [1430] = 1430, + [1431] = 1431, + [1432] = 1432, + [1433] = 1433, + [1434] = 1434, + [1435] = 1435, + [1436] = 1436, + [1437] = 1437, + [1438] = 1438, + [1439] = 1439, + [1440] = 1440, + [1441] = 1441, + [1442] = 1442, + [1443] = 1443, + [1444] = 1444, + [1445] = 1445, + [1446] = 1446, + [1447] = 1447, + [1448] = 1448, + [1449] = 1449, + [1450] = 1450, + [1451] = 1451, + [1452] = 1452, + [1453] = 1453, + [1454] = 1454, + [1455] = 1455, + [1456] = 1456, + [1457] = 1457, + [1458] = 1458, + [1459] = 1459, + [1460] = 1460, + [1461] = 1461, + [1462] = 1462, + [1463] = 1463, + [1464] = 1464, + [1465] = 1465, + [1466] = 1466, + [1467] = 1467, + [1468] = 1468, + [1469] = 1469, + [1470] = 1470, + [1471] = 1471, + [1472] = 1472, + [1473] = 1473, + [1474] = 1474, + [1475] = 1475, + [1476] = 1476, + [1477] = 1477, + [1478] = 1478, + [1479] = 1479, + [1480] = 1480, + [1481] = 1481, + [1482] = 1482, + [1483] = 1483, + [1484] = 1484, + [1485] = 1485, + [1486] = 1486, + [1487] = 1487, + [1488] = 1488, + [1489] = 1489, + [1490] = 1490, + [1491] = 1491, + [1492] = 1492, + [1493] = 1493, + [1494] = 1494, + [1495] = 1495, + [1496] = 1496, + [1497] = 1497, + [1498] = 1498, + [1499] = 1499, + [1500] = 1500, + [1501] = 1501, + [1502] = 1502, + [1503] = 1503, + [1504] = 1504, + [1505] = 1505, + [1506] = 1506, + [1507] = 1507, + [1508] = 1508, + [1509] = 1509, + [1510] = 1510, + [1511] = 1511, + [1512] = 1512, + [1513] = 1513, + [1514] = 1514, + [1515] = 1515, + [1516] = 1516, + [1517] = 1517, + [1518] = 1518, + [1519] = 1519, + [1520] = 1520, + [1521] = 1521, + [1522] = 1522, + [1523] = 1523, + [1524] = 1524, + [1525] = 1525, + [1526] = 1526, + [1527] = 1527, + [1528] = 1528, + [1529] = 1529, + [1530] = 1530, + [1531] = 1531, + [1532] = 1532, + [1533] = 1533, + [1534] = 1534, + [1535] = 1535, + [1536] = 1536, + [1537] = 1537, + [1538] = 1538, + [1539] = 1539, + [1540] = 1540, + [1541] = 1541, + [1542] = 1542, + [1543] = 1543, + [1544] = 1544, + [1545] = 1545, + [1546] = 1546, + [1547] = 1547, + [1548] = 1548, + [1549] = 1549, + [1550] = 1550, + [1551] = 1551, + [1552] = 1552, + [1553] = 1553, + [1554] = 1554, + [1555] = 1555, + [1556] = 1556, + [1557] = 1557, + [1558] = 1558, + [1559] = 546, + [1560] = 1560, + [1561] = 1561, + [1562] = 1562, + [1563] = 1563, + [1564] = 1564, + [1565] = 1565, + [1566] = 1566, + [1567] = 1567, + [1568] = 1568, + [1569] = 1569, + [1570] = 1570, + [1571] = 1571, + [1572] = 1572, + [1573] = 1573, + [1574] = 1574, + [1575] = 1575, + [1576] = 1576, + [1577] = 1577, + [1578] = 1578, + [1579] = 1579, + [1580] = 1580, + [1581] = 1581, + [1582] = 1582, + [1583] = 1583, + [1584] = 1584, + [1585] = 1585, + [1586] = 1586, + [1587] = 1587, + [1588] = 1588, + [1589] = 1589, + [1590] = 1590, + [1591] = 1591, + [1592] = 1592, + [1593] = 1593, + [1594] = 1594, + [1595] = 1595, + [1596] = 1596, + [1597] = 1597, + [1598] = 1598, + [1599] = 1599, + [1600] = 1600, + [1601] = 1601, + [1602] = 1602, + [1603] = 1603, + [1604] = 1604, + [1605] = 1605, + [1606] = 1606, + [1607] = 1607, + [1608] = 1608, + [1609] = 1609, + [1610] = 1610, + [1611] = 1611, + [1612] = 1612, + [1613] = 1613, + [1614] = 1614, + [1615] = 1615, + [1616] = 1616, + [1617] = 1617, + [1618] = 1618, + [1619] = 1619, + [1620] = 1620, + [1621] = 1621, + [1622] = 1622, + [1623] = 1623, + [1624] = 1624, + [1625] = 1625, + [1626] = 1626, + [1627] = 1627, + [1628] = 1628, + [1629] = 1629, + [1630] = 1630, + [1631] = 1631, + [1632] = 1632, + [1633] = 1633, + [1634] = 1634, + [1635] = 1635, + [1636] = 1636, + [1637] = 1637, + [1638] = 1638, + [1639] = 1639, + [1640] = 1640, + [1641] = 1641, + [1642] = 1642, + [1643] = 1643, + [1644] = 1644, + [1645] = 1645, + [1646] = 1646, + [1647] = 1647, + [1648] = 1648, + [1649] = 1649, + [1650] = 1650, + [1651] = 1651, + [1652] = 1652, + [1653] = 1653, + [1654] = 1654, + [1655] = 1655, + [1656] = 1656, + [1657] = 1657, + [1658] = 1658, + [1659] = 1659, + [1660] = 1660, + [1661] = 1661, + [1662] = 1662, + [1663] = 1663, + [1664] = 1664, + [1665] = 1665, + [1666] = 1666, + [1667] = 1667, + [1668] = 1668, + [1669] = 1669, + [1670] = 1670, + [1671] = 1671, + [1672] = 1672, + [1673] = 1673, + [1674] = 1674, + [1675] = 1675, + [1676] = 1676, + [1677] = 1677, + [1678] = 1678, + [1679] = 1679, + [1680] = 1680, + [1681] = 1681, + [1682] = 1682, + [1683] = 1683, + [1684] = 1684, + [1685] = 1685, + [1686] = 1686, + [1687] = 1687, + [1688] = 1688, + [1689] = 1689, + [1690] = 1690, + [1691] = 1691, + [1692] = 1692, + [1693] = 1693, + [1694] = 1694, + [1695] = 1695, + [1696] = 1696, + [1697] = 1697, + [1698] = 1698, + [1699] = 1699, + [1700] = 1700, + [1701] = 1701, + [1702] = 1702, + [1703] = 1703, + [1704] = 1704, + [1705] = 1705, + [1706] = 1706, + [1707] = 1707, + [1708] = 1708, + [1709] = 1709, + [1710] = 1710, + [1711] = 1711, + [1712] = 1712, + [1713] = 1713, + [1714] = 1714, + [1715] = 1715, + [1716] = 1716, + [1717] = 1717, + [1718] = 1718, + [1719] = 1719, + [1720] = 1720, + [1721] = 1721, + [1722] = 1722, + [1723] = 1723, + [1724] = 1724, + [1725] = 1725, + [1726] = 1726, + [1727] = 1727, + [1728] = 1728, + [1729] = 1729, + [1730] = 1730, + [1731] = 1731, + [1732] = 1732, + [1733] = 1733, + [1734] = 1734, + [1735] = 1735, + [1736] = 1736, + [1737] = 1737, + [1738] = 1738, + [1739] = 1739, + [1740] = 1740, + [1741] = 1741, + [1742] = 1742, + [1743] = 1743, + [1744] = 1744, + [1745] = 1745, + [1746] = 1479, + [1747] = 469, + [1748] = 1371, + [1749] = 1373, + [1750] = 1374, + [1751] = 1378, + [1752] = 1439, + [1753] = 986, + [1754] = 1444, + [1755] = 1441, + [1756] = 509, + [1757] = 1446, + [1758] = 526, + [1759] = 1759, + [1760] = 466, + [1761] = 459, + [1762] = 505, + [1763] = 758, + [1764] = 526, + [1765] = 466, + [1766] = 459, + [1767] = 505, + [1768] = 469, + [1769] = 509, + [1770] = 1566, + [1771] = 1556, + [1772] = 1555, + [1773] = 1554, + [1774] = 1504, + [1775] = 1503, + [1776] = 1337, + [1777] = 1336, + [1778] = 1333, + [1779] = 1328, + [1780] = 1327, + [1781] = 1324, + [1782] = 1321, + [1783] = 1320, + [1784] = 1319, + [1785] = 1325, + [1786] = 1614, + [1787] = 1529, + [1788] = 1520, + [1789] = 1626, + [1790] = 1622, + [1791] = 1653, + [1792] = 1656, + [1793] = 1658, + [1794] = 1687, + [1795] = 1573, + [1796] = 1564, + [1797] = 1230, + [1798] = 1229, + [1799] = 1223, + [1800] = 1560, + [1801] = 1222, + [1802] = 1536, + [1803] = 1155, + [1804] = 1304, + [1805] = 979, + [1806] = 1279, + [1807] = 1275, + [1808] = 1043, + [1809] = 1233, + [1810] = 1298, + [1811] = 1594, + [1812] = 1440, + [1813] = 1519, + [1814] = 1141, + [1815] = 1139, + [1816] = 1062, + [1817] = 1817, + [1818] = 1278, + [1819] = 1283, + [1820] = 1569, + [1821] = 1292, + [1822] = 1293, + [1823] = 1581, + [1824] = 1603, + [1825] = 1190, + [1826] = 1189, + [1827] = 979, + [1828] = 1370, + [1829] = 980, + [1830] = 1342, + [1831] = 1349, + [1832] = 1384, + [1833] = 1396, + [1834] = 1399, + [1835] = 1361, + [1836] = 979, + [1837] = 980, + [1838] = 1570, + [1839] = 1276, + [1840] = 1453, + [1841] = 1586, + [1842] = 1270, + [1843] = 1264, + [1844] = 1599, + [1845] = 1364, + [1846] = 1390, + [1847] = 1422, + [1848] = 1397, + [1849] = 1406, + [1850] = 1262, + [1851] = 1358, + [1852] = 1350, + [1853] = 1302, + [1854] = 980, + [1855] = 1613, + [1856] = 1619, + [1857] = 1202, + [1858] = 546, + [1859] = 1593, + [1860] = 1198, + [1861] = 1672, + [1862] = 1266, + [1863] = 1209, + [1864] = 1696, + [1865] = 1635, + [1866] = 1713, + [1867] = 1290, + [1868] = 1282, + [1869] = 1277, + [1870] = 1194, + [1871] = 1192, + [1872] = 1191, + [1873] = 758, + [1874] = 1643, + [1875] = 1023, + [1876] = 1024, + [1877] = 1591, + [1878] = 1740, + [1879] = 1203, + [1880] = 1106, + [1881] = 1142, + [1882] = 1148, + [1883] = 1883, + [1884] = 1884, + [1885] = 1817, + [1886] = 1886, + [1887] = 758, + [1888] = 1888, + [1889] = 1889, + [1890] = 1890, + [1891] = 1891, + [1892] = 1892, + [1893] = 1893, + [1894] = 758, + [1895] = 512, + [1896] = 980, + [1897] = 979, + [1898] = 512, + [1899] = 1886, + [1900] = 1900, + [1901] = 546, + [1902] = 1883, + [1903] = 1890, + [1904] = 1904, + [1905] = 1905, + [1906] = 1906, + [1907] = 1907, + [1908] = 1908, + [1909] = 1909, + [1910] = 1910, + [1911] = 1911, + [1912] = 1912, + [1913] = 1913, + [1914] = 1910, + [1915] = 758, + [1916] = 1905, + [1917] = 1917, + [1918] = 1918, + [1919] = 1919, + [1920] = 1900, + [1921] = 1921, + [1922] = 1910, + [1923] = 1923, + [1924] = 555, + [1925] = 1925, + [1926] = 1926, + [1927] = 1927, + [1928] = 1928, + [1929] = 541, + [1930] = 555, + [1931] = 539, + [1932] = 1905, + [1933] = 1933, + [1934] = 1934, + [1935] = 1935, + [1936] = 1936, + [1937] = 1921, + [1938] = 1938, + [1939] = 526, + [1940] = 1927, + [1941] = 1913, + [1942] = 1928, + [1943] = 1906, + [1944] = 1907, + [1945] = 1908, + [1946] = 459, + [1947] = 1904, + [1948] = 1817, + [1949] = 1911, + [1950] = 1919, + [1951] = 1933, + [1952] = 1918, + [1953] = 1912, + [1954] = 1909, + [1955] = 1935, + [1956] = 512, + [1957] = 1926, + [1958] = 1934, + [1959] = 1886, + [1960] = 526, + [1961] = 466, + [1962] = 459, + [1963] = 505, + [1964] = 466, + [1965] = 469, + [1966] = 758, + [1967] = 512, + [1968] = 505, + [1969] = 469, + [1970] = 1917, + [1971] = 509, + [1972] = 509, + [1973] = 1892, + [1974] = 586, + [1975] = 1890, + [1976] = 649, + [1977] = 1883, + [1978] = 1891, + [1979] = 635, + [1980] = 648, + [1981] = 1893, + [1982] = 1982, + [1983] = 639, + [1984] = 1984, + [1985] = 459, + [1986] = 509, + [1987] = 469, + [1988] = 505, + [1989] = 466, + [1990] = 526, + [1991] = 1912, + [1992] = 1992, + [1993] = 539, + [1994] = 541, + [1995] = 1982, + [1996] = 586, + [1997] = 1997, + [1998] = 589, + [1999] = 611, + [2000] = 1817, + [2001] = 621, + [2002] = 626, + [2003] = 638, + [2004] = 597, + [2005] = 649, + [2006] = 648, + [2007] = 639, + [2008] = 622, + [2009] = 616, + [2010] = 612, + [2011] = 609, + [2012] = 592, + [2013] = 1919, + [2014] = 1933, + [2015] = 1911, + [2016] = 1918, + [2017] = 1908, + [2018] = 597, + [2019] = 622, + [2020] = 1907, + [2021] = 979, + [2022] = 980, + [2023] = 616, + [2024] = 631, + [2025] = 623, + [2026] = 1917, + [2027] = 2027, + [2028] = 1926, + [2029] = 617, + [2030] = 615, + [2031] = 578, + [2032] = 608, + [2033] = 607, + [2034] = 1906, + [2035] = 1913, + [2036] = 1909, + [2037] = 612, + [2038] = 609, + [2039] = 758, + [2040] = 2040, + [2041] = 1921, + [2042] = 2042, + [2043] = 592, + [2044] = 1893, + [2045] = 1892, + [2046] = 1997, + [2047] = 1891, + [2048] = 1892, + [2049] = 1893, + [2050] = 2050, + [2051] = 980, + [2052] = 631, + [2053] = 2053, + [2054] = 1927, + [2055] = 1938, + [2056] = 623, + [2057] = 1928, + [2058] = 2050, + [2059] = 617, + [2060] = 615, + [2061] = 979, + [2062] = 1935, + [2063] = 1891, + [2064] = 578, + [2065] = 608, + [2066] = 607, + [2067] = 635, + [2068] = 1984, + [2069] = 1904, + [2070] = 589, + [2071] = 614, + [2072] = 611, + [2073] = 621, + [2074] = 626, + [2075] = 614, + [2076] = 1934, + [2077] = 638, + [2078] = 2078, + [2079] = 2079, + [2080] = 685, + [2081] = 738, + [2082] = 2079, + [2083] = 2083, + [2084] = 738, + [2085] = 2085, + [2086] = 2086, + [2087] = 685, + [2088] = 684, + [2089] = 681, + [2090] = 2078, + [2091] = 888, + [2092] = 2092, + [2093] = 2093, + [2094] = 2094, + [2095] = 974, + [2096] = 2096, + [2097] = 2097, + [2098] = 546, + [2099] = 1984, + [2100] = 975, + [2101] = 2101, + [2102] = 681, + [2103] = 684, + [2104] = 2104, + [2105] = 2086, + [2106] = 1997, + [2107] = 2107, + [2108] = 2085, + [2109] = 2083, + [2110] = 2093, + [2111] = 2111, + [2112] = 1817, + [2113] = 2096, + [2114] = 1938, + [2115] = 2094, + [2116] = 2092, + [2117] = 2107, + [2118] = 2097, + [2119] = 1883, + [2120] = 1890, + [2121] = 888, + [2122] = 2122, + [2123] = 1817, + [2124] = 2124, + [2125] = 2124, + [2126] = 2126, + [2127] = 1900, + [2128] = 2101, + [2129] = 2096, + [2130] = 1928, + [2131] = 1904, + [2132] = 1911, + [2133] = 1912, + [2134] = 1890, + [2135] = 1883, + [2136] = 2097, + [2137] = 932, + [2138] = 2093, + [2139] = 2083, + [2140] = 2140, + [2141] = 2141, + [2142] = 1908, + [2143] = 1144, + [2144] = 981, + [2145] = 2094, + [2146] = 2079, + [2147] = 1935, + [2148] = 1934, + [2149] = 2086, + [2150] = 2150, + [2151] = 512, + [2152] = 512, + [2153] = 1926, + [2154] = 1917, + [2155] = 1918, + [2156] = 2156, + [2157] = 1904, + [2158] = 2158, + [2159] = 2159, + [2160] = 1908, + [2161] = 1933, + [2162] = 2140, + [2163] = 932, + [2164] = 1909, + [2165] = 1907, + [2166] = 1906, + [2167] = 1907, + [2168] = 1913, + [2169] = 691, + [2170] = 686, + [2171] = 2078, + [2172] = 1919, + [2173] = 2173, + [2174] = 1906, + [2175] = 1909, + [2176] = 1921, + [2177] = 1927, + [2178] = 1913, + [2179] = 512, + [2180] = 1909, + [2181] = 512, + [2182] = 2150, + [2183] = 1921, + [2184] = 1912, + [2185] = 1927, + [2186] = 1928, + [2187] = 1911, + [2188] = 2126, + [2189] = 1928, + [2190] = 1927, + [2191] = 459, + [2192] = 1921, + [2193] = 981, + [2194] = 2173, + [2195] = 1934, + [2196] = 1935, + [2197] = 1883, + [2198] = 1890, + [2199] = 1913, + [2200] = 1935, + [2201] = 1934, + [2202] = 979, + [2203] = 1906, + [2204] = 1907, + [2205] = 691, + [2206] = 2206, + [2207] = 2107, + [2208] = 980, + [2209] = 686, + [2210] = 2101, + [2211] = 1900, + [2212] = 2124, + [2213] = 1908, + [2214] = 2159, + [2215] = 1904, + [2216] = 1886, + [2217] = 2092, + [2218] = 1919, + [2219] = 1933, + [2220] = 1933, + [2221] = 1911, + [2222] = 1918, + [2223] = 1926, + [2224] = 1912, + [2225] = 1917, + [2226] = 2226, + [2227] = 1919, + [2228] = 1886, + [2229] = 2206, + [2230] = 1917, + [2231] = 1926, + [2232] = 2232, + [2233] = 1918, + [2234] = 1168, + [2235] = 1532, + [2236] = 1105, + [2237] = 1103, + [2238] = 1702, + [2239] = 1102, + [2240] = 1101, + [2241] = 1098, + [2242] = 541, + [2243] = 974, + [2244] = 975, + [2245] = 1285, + [2246] = 1289, + [2247] = 1300, + [2248] = 1086, + [2249] = 1557, + [2250] = 1430, + [2251] = 1541, + [2252] = 1431, + [2253] = 1938, + [2254] = 1085, + [2255] = 1938, + [2256] = 1436, + [2257] = 1395, + [2258] = 1438, + [2259] = 1467, + [2260] = 1487, + [2261] = 1489, + [2262] = 1817, + [2263] = 1526, + [2264] = 1289, + [2265] = 1079, + [2266] = 1217, + [2267] = 1066, + [2268] = 1036, + [2269] = 1329, + [2270] = 1537, + [2271] = 1238, + [2272] = 1217, + [2273] = 1732, + [2274] = 1355, + [2275] = 1357, + [2276] = 1042, + [2277] = 1044, + [2278] = 1047, + [2279] = 1087, + [2280] = 1456, + [2281] = 1369, + [2282] = 1088, + [2283] = 1097, + [2284] = 1100, + [2285] = 1109, + [2286] = 1206, + [2287] = 1213, + [2288] = 1634, + [2289] = 1238, + [2290] = 644, + [2291] = 1454, + [2292] = 539, + [2293] = 1460, + [2294] = 1472, + [2295] = 2295, + [2296] = 1348, + [2297] = 1900, + [2298] = 1215, + [2299] = 1220, + [2300] = 1221, + [2301] = 1227, + [2302] = 1115, + [2303] = 1300, + [2304] = 1237, + [2305] = 1241, + [2306] = 1082, + [2307] = 1348, + [2308] = 1285, + [2309] = 1679, + [2310] = 1254, + [2311] = 1260, + [2312] = 1701, + [2313] = 2313, + [2314] = 1705, + [2315] = 1617, + [2316] = 1706, + [2317] = 1232, + [2318] = 1645, + [2319] = 1661, + [2320] = 1470, + [2321] = 1662, + [2322] = 1111, + [2323] = 1112, + [2324] = 1476, + [2325] = 541, + [2326] = 539, + [2327] = 1250, + [2328] = 1938, + [2329] = 1386, + [2330] = 1391, + [2331] = 1631, + [2332] = 1540, + [2333] = 1392, + [2334] = 1420, + [2335] = 1419, + [2336] = 1345, + [2337] = 1393, + [2338] = 1634, + [2339] = 1145, + [2340] = 1274, + [2341] = 1668, + [2342] = 1483, + [2343] = 1048, + [2344] = 2344, + [2345] = 1512, + [2346] = 1365, + [2347] = 1116, + [2348] = 1253, + [2349] = 1251, + [2350] = 1224, + [2351] = 1160, + [2352] = 1214, + [2353] = 1219, + [2354] = 1212, + [2355] = 1286, + [2356] = 1900, + [2357] = 1182, + [2358] = 2358, + [2359] = 1181, + [2360] = 1239, + [2361] = 1177, + [2362] = 1235, + [2363] = 1234, + [2364] = 1176, + [2365] = 1151, + [2366] = 2366, + [2367] = 1376, + [2368] = 1113, + [2369] = 1104, + [2370] = 1526, + [2371] = 1071, + [2372] = 1118, + [2373] = 1119, + [2374] = 1046, + [2375] = 1375, + [2376] = 1679, + [2377] = 1331, + [2378] = 1145, + [2379] = 1294, + [2380] = 1171, + [2381] = 1169, + [2382] = 1386, + [2383] = 1150, + [2384] = 1166, + [2385] = 1165, + [2386] = 1162, + [2387] = 1391, + [2388] = 1152, + [2389] = 1120, + [2390] = 1701, + [2391] = 1161, + [2392] = 1393, + [2393] = 1158, + [2394] = 1157, + [2395] = 1392, + [2396] = 1121, + [2397] = 1154, + [2398] = 1153, + [2399] = 1706, + [2400] = 1123, + [2401] = 1705, + [2402] = 1125, + [2403] = 1124, + [2404] = 1908, + [2405] = 1934, + [2406] = 1912, + [2407] = 2407, + [2408] = 2408, + [2409] = 2409, + [2410] = 2410, + [2411] = 2411, + [2412] = 2412, + [2413] = 2413, + [2414] = 1817, + [2415] = 1890, + [2416] = 1883, + [2417] = 2126, + [2418] = 2413, + [2419] = 2419, + [2420] = 2420, + [2421] = 1144, + [2422] = 758, + [2423] = 1928, + [2424] = 1927, + [2425] = 1921, + [2426] = 1909, + [2427] = 1913, + [2428] = 1906, + [2429] = 1907, + [2430] = 1904, + [2431] = 1908, + [2432] = 1904, + [2433] = 1911, + [2434] = 1912, + [2435] = 1935, + [2436] = 2436, + [2437] = 1907, + [2438] = 1911, + [2439] = 2439, + [2440] = 1906, + [2441] = 1913, + [2442] = 1909, + [2443] = 1926, + [2444] = 1921, + [2445] = 2445, + [2446] = 1927, + [2447] = 2447, + [2448] = 1917, + [2449] = 1918, + [2450] = 1933, + [2451] = 1919, + [2452] = 1928, + [2453] = 1886, + [2454] = 2454, + [2455] = 2455, + [2456] = 2456, + [2457] = 1926, + [2458] = 555, + [2459] = 1917, + [2460] = 1918, + [2461] = 1935, + [2462] = 1933, + [2463] = 1934, + [2464] = 1919, + [2465] = 2465, + [2466] = 2466, + [2467] = 2467, + [2468] = 2468, + [2469] = 1315, + [2470] = 2470, + [2471] = 1205, + [2472] = 1204, + [2473] = 1201, + [2474] = 1200, + [2475] = 1199, + [2476] = 1197, + [2477] = 1196, + [2478] = 1195, + [2479] = 1418, + [2480] = 1428, + [2481] = 1039, + [2482] = 1432, + [2483] = 1433, + [2484] = 1434, + [2485] = 1435, + [2486] = 1037, + [2487] = 1417, + [2488] = 1416, + [2489] = 2470, + [2490] = 1017, + [2491] = 1367, + [2492] = 1415, + [2493] = 1016, + [2494] = 1133, + [2495] = 1443, + [2496] = 984, + [2497] = 1014, + [2498] = 1011, + [2499] = 2412, + [2500] = 2411, + [2501] = 2436, + [2502] = 2407, + [2503] = 1412, + [2504] = 1156, + [2505] = 2408, + [2506] = 1368, + [2507] = 2409, + [2508] = 2410, + [2509] = 1546, + [2510] = 1632, + [2511] = 1009, + [2512] = 1008, + [2513] = 1006, + [2514] = 1738, + [2515] = 1628, + [2516] = 1466, + [2517] = 1461, + [2518] = 1450, + [2519] = 1002, + [2520] = 1405, + [2521] = 1938, + [2522] = 1000, + [2523] = 1382, + [2524] = 998, + [2525] = 1379, + [2526] = 1353, + [2527] = 997, + [2528] = 1351, + [2529] = 1330, + [2530] = 1326, + [2531] = 1301, + [2532] = 1299, + [2533] = 1226, + [2534] = 1211, + [2535] = 1210, + [2536] = 1147, + [2537] = 1064, + [2538] = 1061, + [2539] = 1640, + [2540] = 1059, + [2541] = 1058, + [2542] = 1051, + [2543] = 985, + [2544] = 1031, + [2545] = 1034, + [2546] = 1817, + [2547] = 996, + [2548] = 995, + [2549] = 1641, + [2550] = 1004, + [2551] = 992, + [2552] = 1041, + [2553] = 1900, + [2554] = 991, + [2555] = 1143, + [2556] = 1458, + [2557] = 1463, + [2558] = 1697, + [2559] = 1644, + [2560] = 1648, + [2561] = 1140, + [2562] = 1730, + [2563] = 1715, + [2564] = 1366, + [2565] = 990, + [2566] = 1137, + [2567] = 1468, + [2568] = 1471, + [2569] = 1473, + [2570] = 989, + [2571] = 1681, + [2572] = 1686, + [2573] = 1690, + [2574] = 1636, + [2575] = 1638, + [2576] = 1639, + [2577] = 1698, + [2578] = 1703, + [2579] = 1707, + [2580] = 1049, + [2581] = 1716, + [2582] = 1587, + [2583] = 1718, + [2584] = 1721, + [2585] = 1544, + [2586] = 1726, + [2587] = 1649, + [2588] = 1498, + [2589] = 1729, + [2590] = 1539, + [2591] = 1508, + [2592] = 1135, + [2593] = 1510, + [2594] = 1654, + [2595] = 1735, + [2596] = 1131, + [2597] = 1890, + [2598] = 1883, + [2599] = 1531, + [2600] = 1659, + [2601] = 1523, + [2602] = 1129, + [2603] = 1660, + [2604] = 1530, + [2605] = 1527, + [2606] = 1742, + [2607] = 1099, + [2608] = 1093, + [2609] = 1522, + [2610] = 973, + [2611] = 1524, + [2612] = 1517, + [2613] = 1736, + [2614] = 1307, + [2615] = 1724, + [2616] = 1723, + [2617] = 1722, + [2618] = 1515, + [2619] = 1704, + [2620] = 1513, + [2621] = 1695, + [2622] = 1692, + [2623] = 1618, + [2624] = 1553, + [2625] = 1592, + [2626] = 1588, + [2627] = 1511, + [2628] = 1509, + [2629] = 1585, + [2630] = 1507, + [2631] = 1582, + [2632] = 1505, + [2633] = 1590, + [2634] = 1601, + [2635] = 1500, + [2636] = 1496, + [2637] = 1583, + [2638] = 1493, + [2639] = 1492, + [2640] = 1491, + [2641] = 1488, + [2642] = 1605, + [2643] = 1486, + [2644] = 1485, + [2645] = 1576, + [2646] = 1464, + [2647] = 1575, + [2648] = 1053, + [2649] = 1457, + [2650] = 976, + [2651] = 1609, + [2652] = 1610, + [2653] = 1663, + [2654] = 1666, + [2655] = 1574, + [2656] = 1627, + [2657] = 1561, + [2658] = 1558, + [2659] = 1552, + [2660] = 1547, + [2661] = 1538, + [2662] = 1525, + [2663] = 1521, + [2664] = 1437, + [2665] = 1533, + [2666] = 1647, + [2667] = 1650, + [2668] = 1651, + [2669] = 1667, + [2670] = 1655, + [2671] = 1669, + [2672] = 1670, + [2673] = 1671, + [2674] = 1054, + [2675] = 1032, + [2676] = 1063, + [2677] = 1030, + [2678] = 971, + [2679] = 1743, + [2680] = 1322, + [2681] = 1318, + [2682] = 1317, + [2683] = 1316, + [2684] = 1092, + [2685] = 1067, + [2686] = 1309, + [2687] = 1173, + [2688] = 1069, + [2689] = 993, + [2690] = 2465, + [2691] = 2466, + [2692] = 2467, + [2693] = 2468, + [2694] = 2419, + [2695] = 2456, + [2696] = 2447, + [2697] = 1303, + [2698] = 1249, + [2699] = 1261, + [2700] = 1258, + [2701] = 1252, + [2702] = 1216, + [2703] = 1186, + [2704] = 1185, + [2705] = 1184, + [2706] = 1183, + [2707] = 1174, + [2708] = 1709, + [2709] = 1657, + [2710] = 1674, + [2711] = 1675, + [2712] = 1070, + [2713] = 1072, + [2714] = 1676, + [2715] = 1684, + [2716] = 1073, + [2717] = 1323, + [2718] = 1074, + [2719] = 1688, + [2720] = 1075, + [2721] = 1077, + [2722] = 1081, + [2723] = 1711, + [2724] = 1677, + [2725] = 1689, + [2726] = 1091, + [2727] = 1084, + [2728] = 526, + [2729] = 1682, + [2730] = 1683, + [2731] = 1665, + [2732] = 1629, + [2733] = 978, + [2734] = 1938, + [2735] = 1719, + [2736] = 1712, + [2737] = 1621, + [2738] = 1727, + [2739] = 1717, + [2740] = 1445, + [2741] = 1725, + [2742] = 459, + [2743] = 1013, + [2744] = 1015, + [2745] = 509, + [2746] = 2746, + [2747] = 1728, + [2748] = 1019, + [2749] = 1731, + [2750] = 469, + [2751] = 1734, + [2752] = 505, + [2753] = 1207, + [2754] = 977, + [2755] = 1035, + [2756] = 466, + [2757] = 1680, + [2758] = 1026, + [2759] = 1170, + [2760] = 1167, + [2761] = 1128, + [2762] = 1127, + [2763] = 1122, + [2764] = 1114, + [2765] = 1027, + [2766] = 1923, + [2767] = 1936, + [2768] = 1745, + [2769] = 1029, + [2770] = 1028, + [2771] = 2771, + [2772] = 648, + [2773] = 578, + [2774] = 2774, + [2775] = 1890, + [2776] = 1883, + [2777] = 608, + [2778] = 607, + [2779] = 1912, + [2780] = 614, + [2781] = 1934, + [2782] = 1911, + [2783] = 512, + [2784] = 586, + [2785] = 2785, + [2786] = 1900, + [2787] = 2410, + [2788] = 649, + [2789] = 1934, + [2790] = 1926, + [2791] = 1935, + [2792] = 597, + [2793] = 2455, + [2794] = 2465, + [2795] = 2466, + [2796] = 2467, + [2797] = 1935, + [2798] = 512, + [2799] = 617, + [2800] = 2468, + [2801] = 638, + [2802] = 1928, + [2803] = 1927, + [2804] = 1921, + [2805] = 1909, + [2806] = 1913, + [2807] = 626, + [2808] = 1906, + [2809] = 1907, + [2810] = 1908, + [2811] = 621, + [2812] = 1935, + [2813] = 1934, + [2814] = 2419, + [2815] = 1904, + [2816] = 1911, + [2817] = 1912, + [2818] = 2818, + [2819] = 2819, + [2820] = 2456, + [2821] = 1926, + [2822] = 2822, + [2823] = 2447, + [2824] = 1928, + [2825] = 1927, + [2826] = 1921, + [2827] = 589, + [2828] = 611, + [2829] = 1909, + [2830] = 639, + [2831] = 1917, + [2832] = 615, + [2833] = 623, + [2834] = 1913, + [2835] = 1906, + [2836] = 2040, + [2837] = 2412, + [2838] = 2411, + [2839] = 2436, + [2840] = 2407, + [2841] = 1907, + [2842] = 2408, + [2843] = 2409, + [2844] = 622, + [2845] = 616, + [2846] = 1908, + [2847] = 2822, + [2848] = 635, + [2849] = 1904, + [2850] = 631, + [2851] = 1935, + [2852] = 1934, + [2853] = 1886, + [2854] = 1919, + [2855] = 2819, + [2856] = 1919, + [2857] = 1933, + [2858] = 612, + [2859] = 2042, + [2860] = 609, + [2861] = 592, + [2862] = 1933, + [2863] = 1918, + [2864] = 2774, + [2865] = 1918, + [2866] = 1917, + [2867] = 2454, + [2868] = 2111, + [2869] = 2869, + [2870] = 681, + [2871] = 1938, + [2872] = 888, + [2873] = 1139, + [2874] = 1581, + [2875] = 2875, + [2876] = 546, + [2877] = 685, + [2878] = 684, + [2879] = 1938, + [2880] = 2104, + [2881] = 1440, + [2882] = 2882, + [2883] = 1304, + [2884] = 2126, + [2885] = 758, + [2886] = 738, + [2887] = 1900, + [2888] = 541, + [2889] = 539, + [2890] = 2126, + [2891] = 2456, + [2892] = 2411, + [2893] = 526, + [2894] = 466, + [2895] = 2466, + [2896] = 2455, + [2897] = 505, + [2898] = 2467, + [2899] = 2040, + [2900] = 2042, + [2901] = 981, + [2902] = 2454, + [2903] = 2468, + [2904] = 2465, + [2905] = 2419, + [2906] = 469, + [2907] = 758, + [2908] = 509, + [2909] = 459, + [2910] = 2910, + [2911] = 2419, + [2912] = 2465, + [2913] = 2466, + [2914] = 2410, + [2915] = 2409, + [2916] = 2467, + [2917] = 2468, + [2918] = 2408, + [2919] = 2407, + [2920] = 2436, + [2921] = 2419, + [2922] = 2456, + [2923] = 2412, + [2924] = 2447, + [2925] = 2411, + [2926] = 2468, + [2927] = 2467, + [2928] = 2410, + [2929] = 2466, + [2930] = 2409, + [2931] = 2465, + [2932] = 2436, + [2933] = 2408, + [2934] = 2412, + [2935] = 2407, + [2936] = 2407, + [2937] = 2436, + [2938] = 2447, + [2939] = 2408, + [2940] = 2411, + [2941] = 2409, + [2942] = 2447, + [2943] = 2410, + [2944] = 2412, + [2945] = 2456, + [2946] = 2910, + [2947] = 2111, + [2948] = 2040, + [2949] = 2042, + [2950] = 2950, + [2951] = 686, + [2952] = 691, + [2953] = 932, + [2954] = 1634, + [2955] = 1145, + [2956] = 1393, + [2957] = 1392, + [2958] = 1391, + [2959] = 1386, + [2960] = 2104, + [2961] = 1217, + [2962] = 1285, + [2963] = 1289, + [2964] = 1300, + [2965] = 1348, + [2966] = 1526, + [2967] = 2967, + [2968] = 2968, + [2969] = 2126, + [2970] = 2968, + [2971] = 758, + [2972] = 2470, + [2973] = 1238, + [2974] = 2111, + [2975] = 2975, + [2976] = 2104, + [2977] = 1706, + [2978] = 2968, + [2979] = 2111, + [2980] = 1679, + [2981] = 1701, + [2982] = 1705, + [2983] = 2968, + [2984] = 1817, + [2985] = 2968, + [2986] = 2986, + [2987] = 2466, + [2988] = 2456, + [2989] = 1907, + [2990] = 1906, + [2991] = 1913, + [2992] = 1909, + [2993] = 2993, + [2994] = 1890, + [2995] = 2995, + [2996] = 1883, + [2997] = 2993, + [2998] = 1936, + [2999] = 1921, + [3000] = 1927, + [3001] = 2465, + [3002] = 1904, + [3003] = 1928, + [3004] = 1912, + [3005] = 1923, + [3006] = 2042, + [3007] = 2040, + [3008] = 2412, + [3009] = 2411, + [3010] = 1911, + [3011] = 2436, + [3012] = 2407, + [3013] = 2408, + [3014] = 2409, + [3015] = 2410, + [3016] = 2467, + [3017] = 512, + [3018] = 512, + [3019] = 2206, + [3020] = 1935, + [3021] = 1934, + [3022] = 2468, + [3023] = 2447, + [3024] = 2410, + [3025] = 2468, + [3026] = 2419, + [3027] = 2456, + [3028] = 3028, + [3029] = 2465, + [3030] = 2447, + [3031] = 3031, + [3032] = 2409, + [3033] = 2408, + [3034] = 2407, + [3035] = 2436, + [3036] = 2411, + [3037] = 3037, + [3038] = 3038, + [3039] = 3039, + [3040] = 1908, + [3041] = 2466, + [3042] = 2412, + [3043] = 1886, + [3044] = 1919, + [3045] = 1933, + [3046] = 2467, + [3047] = 1918, + [3048] = 1917, + [3049] = 1926, + [3050] = 2419, + [3051] = 541, + [3052] = 1900, + [3053] = 3053, + [3054] = 2995, + [3055] = 3053, + [3056] = 3056, + [3057] = 3057, + [3058] = 539, + [3059] = 1938, + [3060] = 2104, + [3061] = 2126, + [3062] = 3062, + [3063] = 3057, + [3064] = 3064, + [3065] = 3065, + [3066] = 3066, + [3067] = 3067, + [3068] = 2467, + [3069] = 2465, + [3070] = 3070, + [3071] = 3071, + [3072] = 2466, + [3073] = 2467, + [3074] = 2468, + [3075] = 3075, + [3076] = 2419, + [3077] = 2447, + [3078] = 2456, + [3079] = 3079, + [3080] = 2410, + [3081] = 2409, + [3082] = 2408, + [3083] = 2407, + [3084] = 1817, + [3085] = 2436, + [3086] = 3086, + [3087] = 3031, + [3088] = 3088, + [3089] = 3089, + [3090] = 3090, + [3091] = 3091, + [3092] = 3092, + [3093] = 3093, + [3094] = 3094, + [3095] = 3095, + [3096] = 2465, + [3097] = 2466, + [3098] = 2411, + [3099] = 2468, + [3100] = 2419, + [3101] = 2456, + [3102] = 2447, + [3103] = 3103, + [3104] = 512, + [3105] = 3064, + [3106] = 3106, + [3107] = 2412, + [3108] = 512, + [3109] = 3109, + [3110] = 3110, + [3111] = 3111, + [3112] = 2042, + [3113] = 3113, + [3114] = 3114, + [3115] = 555, + [3116] = 3116, + [3117] = 3075, + [3118] = 3118, + [3119] = 3119, + [3120] = 3120, + [3121] = 3121, + [3122] = 2410, + [3123] = 2409, + [3124] = 2408, + [3125] = 2407, + [3126] = 2436, + [3127] = 2411, + [3128] = 2412, + [3129] = 1918, + [3130] = 2042, + [3131] = 3131, + [3132] = 2040, + [3133] = 1890, + [3134] = 3134, + [3135] = 541, + [3136] = 3131, + [3137] = 1883, + [3138] = 2454, + [3139] = 2040, + [3140] = 1933, + [3141] = 3141, + [3142] = 3142, + [3143] = 3143, + [3144] = 2040, + [3145] = 2042, + [3146] = 3146, + [3147] = 3147, + [3148] = 459, + [3149] = 509, + [3150] = 1935, + [3151] = 1883, + [3152] = 1926, + [3153] = 1934, + [3154] = 469, + [3155] = 3155, + [3156] = 3156, + [3157] = 3157, + [3158] = 505, + [3159] = 3159, + [3160] = 3157, + [3161] = 2040, + [3162] = 3162, + [3163] = 1817, + [3164] = 541, + [3165] = 3141, + [3166] = 466, + [3167] = 3147, + [3168] = 3143, + [3169] = 3169, + [3170] = 526, + [3171] = 2042, + [3172] = 3172, + [3173] = 3146, + [3174] = 3134, + [3175] = 1912, + [3176] = 1911, + [3177] = 3177, + [3178] = 2206, + [3179] = 1904, + [3180] = 1919, + [3181] = 1908, + [3182] = 3155, + [3183] = 3183, + [3184] = 3177, + [3185] = 1907, + [3186] = 3169, + [3187] = 1906, + [3188] = 3188, + [3189] = 539, + [3190] = 1913, + [3191] = 1909, + [3192] = 1921, + [3193] = 1927, + [3194] = 1928, + [3195] = 2455, + [3196] = 1917, + [3197] = 3172, + [3198] = 3159, + [3199] = 1913, + [3200] = 3200, + [3201] = 1934, + [3202] = 3202, + [3203] = 3203, + [3204] = 3204, + [3205] = 1935, + [3206] = 3204, + [3207] = 3207, + [3208] = 1938, + [3209] = 3204, + [3210] = 3210, + [3211] = 3211, + [3212] = 1900, + [3213] = 586, + [3214] = 589, + [3215] = 611, + [3216] = 621, + [3217] = 2104, + [3218] = 1928, + [3219] = 626, + [3220] = 2111, + [3221] = 638, + [3222] = 597, + [3223] = 649, + [3224] = 648, + [3225] = 639, + [3226] = 622, + [3227] = 616, + [3228] = 612, + [3229] = 3204, + [3230] = 1927, + [3231] = 1921, + [3232] = 3200, + [3233] = 1909, + [3234] = 3234, + [3235] = 609, + [3236] = 1906, + [3237] = 1907, + [3238] = 1908, + [3239] = 592, + [3240] = 1904, + [3241] = 1926, + [3242] = 1911, + [3243] = 3243, + [3244] = 1912, + [3245] = 3243, + [3246] = 3204, + [3247] = 3204, + [3248] = 2104, + [3249] = 3249, + [3250] = 631, + [3251] = 623, + [3252] = 617, + [3253] = 615, + [3254] = 578, + [3255] = 608, + [3256] = 3086, + [3257] = 3204, + [3258] = 607, + [3259] = 3204, + [3260] = 1926, + [3261] = 1935, + [3262] = 1934, + [3263] = 3204, + [3264] = 2111, + [3265] = 1919, + [3266] = 1900, + [3267] = 1933, + [3268] = 1918, + [3269] = 1919, + [3270] = 1933, + [3271] = 1918, + [3272] = 614, + [3273] = 1917, + [3274] = 1917, + [3275] = 2104, + [3276] = 635, + [3277] = 3204, + [3278] = 1928, + [3279] = 2111, + [3280] = 3280, + [3281] = 3249, + [3282] = 3282, + [3283] = 3204, + [3284] = 1883, + [3285] = 3204, + [3286] = 1936, + [3287] = 1923, + [3288] = 3288, + [3289] = 2206, + [3290] = 3204, + [3291] = 3204, + [3292] = 2104, + [3293] = 1912, + [3294] = 1911, + [3295] = 1904, + [3296] = 3203, + [3297] = 1908, + [3298] = 1907, + [3299] = 3204, + [3300] = 1906, + [3301] = 1913, + [3302] = 1909, + [3303] = 1921, + [3304] = 3304, + [3305] = 1927, + [3306] = 3306, + [3307] = 3307, + [3308] = 3204, + [3309] = 3204, + [3310] = 3310, + [3311] = 1935, + [3312] = 1890, + [3313] = 3313, + [3314] = 1934, + [3315] = 3315, + [3316] = 3316, + [3317] = 3317, + [3318] = 3318, + [3319] = 3319, + [3320] = 3320, + [3321] = 3321, + [3322] = 3321, + [3323] = 3315, + [3324] = 3324, + [3325] = 3320, + [3326] = 3326, + [3327] = 3327, + [3328] = 3328, + [3329] = 3329, + [3330] = 3330, + [3331] = 3331, + [3332] = 3332, + [3333] = 3333, + [3334] = 1938, + [3335] = 3335, + [3336] = 2126, + [3337] = 3337, + [3338] = 3338, + [3339] = 3339, + [3340] = 3340, + [3341] = 3341, + [3342] = 3342, + [3343] = 3343, + [3344] = 3344, + [3345] = 3345, + [3346] = 3346, + [3347] = 3347, + [3348] = 3348, + [3349] = 3349, + [3350] = 3350, + [3351] = 3331, + [3352] = 3352, + [3353] = 3324, + [3354] = 3354, + [3355] = 3355, + [3356] = 3332, + [3357] = 3350, + [3358] = 3317, + [3359] = 3315, + [3360] = 685, + [3361] = 3332, + [3362] = 3347, + [3363] = 3327, + [3364] = 3364, + [3365] = 3332, + [3366] = 3321, + [3367] = 3321, + [3368] = 3352, + [3369] = 3346, + [3370] = 738, + [3371] = 3371, + [3372] = 3364, + [3373] = 3348, + [3374] = 3333, + [3375] = 3375, + [3376] = 3376, + [3377] = 3377, + [3378] = 3378, + [3379] = 3379, + [3380] = 3380, + [3381] = 3379, + [3382] = 888, + [3383] = 3344, + [3384] = 3330, + [3385] = 3315, + [3386] = 3332, + [3387] = 3339, + [3388] = 3341, + [3389] = 3389, + [3390] = 3390, + [3391] = 3329, + [3392] = 1900, + [3393] = 3326, + [3394] = 3332, + [3395] = 3321, + [3396] = 3355, + [3397] = 1938, + [3398] = 3328, + [3399] = 3399, + [3400] = 3321, + [3401] = 3375, + [3402] = 681, + [3403] = 684, + [3404] = 3404, + [3405] = 2465, + [3406] = 932, + [3407] = 2410, + [3408] = 2409, + [3409] = 981, + [3410] = 2408, + [3411] = 2407, + [3412] = 691, + [3413] = 3413, + [3414] = 2436, + [3415] = 3415, + [3416] = 2411, + [3417] = 686, + [3418] = 3418, + [3419] = 2412, + [3420] = 2447, + [3421] = 2456, + [3422] = 2466, + [3423] = 974, + [3424] = 975, + [3425] = 2467, + [3426] = 2468, + [3427] = 2419, + [3428] = 3428, + [3429] = 1217, + [3430] = 1386, + [3431] = 1348, + [3432] = 1285, + [3433] = 1289, + [3434] = 2126, + [3435] = 1634, + [3436] = 1300, + [3437] = 1392, + [3438] = 3438, + [3439] = 1393, + [3440] = 3440, + [3441] = 3441, + [3442] = 3442, + [3443] = 3443, + [3444] = 3444, + [3445] = 1391, + [3446] = 1238, + [3447] = 3447, + [3448] = 1144, + [3449] = 3413, + [3450] = 3450, + [3451] = 3451, + [3452] = 1679, + [3453] = 3453, + [3454] = 1526, + [3455] = 1701, + [3456] = 1705, + [3457] = 3457, + [3458] = 3458, + [3459] = 3459, + [3460] = 1706, + [3461] = 3461, + [3462] = 1145, + [3463] = 1589, + [3464] = 1425, + [3465] = 1528, + [3466] = 1159, + [3467] = 1401, + [3468] = 1404, + [3469] = 1055, + [3470] = 1132, + [3471] = 1057, + [3472] = 1060, + [3473] = 1398, + [3474] = 1694, + [3475] = 1424, + [3476] = 1693, + [3477] = 1360, + [3478] = 1341, + [3479] = 1475, + [3480] = 1332, + [3481] = 1231, + [3482] = 1535, + [3483] = 1400, + [3484] = 1469, + [3485] = 1335, + [3486] = 1427, + [3487] = 1284, + [3488] = 3488, + [3489] = 3488, + [3490] = 1388, + [3491] = 1096, + [3492] = 1708, + [3493] = 1739, + [3494] = 1563, + [3495] = 1720, + [3496] = 1612, + [3497] = 1314, + [3498] = 1423, + [3499] = 1565, + [3500] = 1178, + [3501] = 1313, + [3502] = 1421, + [3503] = 3488, + [3504] = 1477, + [3505] = 1311, + [3506] = 1352, + [3507] = 1346, + [3508] = 1297, + [3509] = 1236, + [3510] = 1501, + [3511] = 1387, + [3512] = 1604, + [3513] = 1281, + [3514] = 3488, + [3515] = 1673, + [3516] = 1267, + [3517] = 1710, + [3518] = 1606, + [3519] = 1607, + [3520] = 1737, + [3521] = 1339, + [3522] = 1567, + [3523] = 1193, + [3524] = 1542, + [3525] = 1385, + [3526] = 1246, + [3527] = 1149, + [3528] = 1429, + [3529] = 1426, + [3530] = 1045, + [3531] = 1310, + [3532] = 1175, + [3533] = 3488, + [3534] = 1534, + [3535] = 1295, + [3536] = 1548, + [3537] = 1280, + [3538] = 1179, + [3539] = 1506, + [3540] = 1268, + [3541] = 1411, + [3542] = 1263, + [3543] = 1571, + [3544] = 1410, + [3545] = 3488, + [3546] = 1257, + [3547] = 1255, + [3548] = 1572, + [3549] = 1602, + [3550] = 1608, + [3551] = 1383, + [3552] = 1038, + [3553] = 1620, + [3554] = 1623, + [3555] = 1568, + [3556] = 1402, + [3557] = 1363, + [3558] = 1625, + [3559] = 1550, + [3560] = 1180, + [3561] = 1549, + [3562] = 1630, + [3563] = 1381, + [3564] = 1362, + [3565] = 1455, + [3566] = 1447, + [3567] = 3488, + [3568] = 1543, + [3569] = 1545, + [3570] = 1308, + [3571] = 1107, + [3572] = 1306, + [3573] = 1110, + [3574] = 1291, + [3575] = 3575, + [3576] = 3576, + [3577] = 1288, + [3578] = 1287, + [3579] = 1407, + [3580] = 1551, + [3581] = 1624, + [3582] = 1269, + [3583] = 1273, + [3584] = 1359, + [3585] = 3488, + [3586] = 1338, + [3587] = 1146, + [3588] = 1244, + [3589] = 1243, + [3590] = 1242, + [3591] = 2410, + [3592] = 2465, + [3593] = 2466, + [3594] = 2467, + [3595] = 2468, + [3596] = 2419, + [3597] = 2456, + [3598] = 2447, + [3599] = 3599, + [3600] = 2409, + [3601] = 2408, + [3602] = 2407, + [3603] = 2436, + [3604] = 2411, + [3605] = 2412, + [3606] = 3606, + [3607] = 2468, + [3608] = 2456, + [3609] = 2411, + [3610] = 2408, + [3611] = 2409, + [3612] = 2466, + [3613] = 2468, + [3614] = 2436, + [3615] = 2412, + [3616] = 2447, + [3617] = 2456, + [3618] = 2410, + [3619] = 2436, + [3620] = 2407, + [3621] = 2408, + [3622] = 2419, + [3623] = 2407, + [3624] = 3624, + [3625] = 2412, + [3626] = 2465, + [3627] = 2465, + [3628] = 2467, + [3629] = 2466, + [3630] = 2467, + [3631] = 2419, + [3632] = 2411, + [3633] = 2447, + [3634] = 3634, + [3635] = 2409, + [3636] = 2410, + [3637] = 3637, + [3638] = 3638, + [3639] = 3639, + [3640] = 3640, + [3641] = 3641, + [3642] = 3642, + [3643] = 3643, + [3644] = 3644, + [3645] = 3645, + [3646] = 980, + [3647] = 979, + [3648] = 3648, + [3649] = 3649, + [3650] = 505, + [3651] = 3651, + [3652] = 526, + [3653] = 509, + [3654] = 3654, + [3655] = 3655, + [3656] = 3606, + [3657] = 3599, + [3658] = 3658, + [3659] = 3659, + [3660] = 3660, + [3661] = 3661, + [3662] = 3660, + [3663] = 3661, + [3664] = 597, + [3665] = 3665, + [3666] = 631, + [3667] = 623, + [3668] = 3668, + [3669] = 3669, + [3670] = 3670, + [3671] = 617, + [3672] = 615, + [3673] = 3673, + [3674] = 589, + [3675] = 611, + [3676] = 621, + [3677] = 626, + [3678] = 586, + [3679] = 638, + [3680] = 649, + [3681] = 648, + [3682] = 639, + [3683] = 622, + [3684] = 616, + [3685] = 612, + [3686] = 609, + [3687] = 592, + [3688] = 578, + [3689] = 608, + [3690] = 607, + [3691] = 3670, + [3692] = 3692, + [3693] = 3669, + [3694] = 635, + [3695] = 3695, + [3696] = 3695, + [3697] = 3695, + [3698] = 3695, + [3699] = 3699, + [3700] = 3695, + [3701] = 3695, + [3702] = 3695, + [3703] = 3703, + [3704] = 3695, + [3705] = 3705, + [3706] = 3706, + [3707] = 3695, + [3708] = 3695, + [3709] = 3695, + [3710] = 3695, + [3711] = 3711, + [3712] = 3712, + [3713] = 3712, + [3714] = 3695, + [3715] = 3695, + [3716] = 3699, + [3717] = 3695, + [3718] = 3718, + [3719] = 3719, + [3720] = 3695, + [3721] = 3721, + [3722] = 3722, + [3723] = 3723, + [3724] = 3724, + [3725] = 3725, + [3726] = 3726, + [3727] = 3727, + [3728] = 3721, + [3729] = 3729, + [3730] = 3730, + [3731] = 3727, + [3732] = 3721, + [3733] = 3733, + [3734] = 3734, + [3735] = 3735, + [3736] = 3736, + [3737] = 3737, + [3738] = 3722, + [3739] = 3739, + [3740] = 3740, + [3741] = 3734, + [3742] = 3726, + [3743] = 3725, + [3744] = 3724, + [3745] = 3745, + [3746] = 3730, + [3747] = 3727, + [3748] = 3748, + [3749] = 3723, + [3750] = 3727, + [3751] = 3751, + [3752] = 3752, + [3753] = 758, + [3754] = 3748, + [3755] = 3740, + [3756] = 3756, + [3757] = 3721, + [3758] = 3758, + [3759] = 3752, + [3760] = 3760, + [3761] = 3737, + [3762] = 3727, + [3763] = 3721, + [3764] = 3764, + [3765] = 3758, + [3766] = 3766, + [3767] = 3730, + [3768] = 3730, + [3769] = 3769, + [3770] = 3745, + [3771] = 3771, + [3772] = 3772, + [3773] = 3773, + [3774] = 3764, + [3775] = 3775, + [3776] = 3736, + [3777] = 3730, + [3778] = 3771, + [3779] = 3779, + [3780] = 3780, + [3781] = 3781, + [3782] = 459, + [3783] = 3783, + [3784] = 1817, + [3785] = 3785, + [3786] = 3730, + [3787] = 3727, + [3788] = 3721, + [3789] = 3751, + [3790] = 3775, + [3791] = 3791, + [3792] = 3792, + [3793] = 3793, + [3794] = 3794, + [3795] = 3795, + [3796] = 3796, + [3797] = 3797, + [3798] = 3798, + [3799] = 512, + [3800] = 3800, + [3801] = 466, + [3802] = 469, + [3803] = 3803, + [3804] = 3803, + [3805] = 3805, + [3806] = 3806, + [3807] = 3807, + [3808] = 3807, + [3809] = 3809, + [3810] = 3810, + [3811] = 3811, + [3812] = 3812, + [3813] = 3813, + [3814] = 3814, + [3815] = 3815, + [3816] = 3816, + [3817] = 3817, + [3818] = 3818, + [3819] = 3819, + [3820] = 3819, + [3821] = 3821, + [3822] = 3810, + [3823] = 3823, + [3824] = 3824, + [3825] = 3825, + [3826] = 3826, + [3827] = 3827, + [3828] = 3828, + [3829] = 3823, + [3830] = 3830, + [3831] = 3824, + [3832] = 3832, + [3833] = 3833, + [3834] = 546, + [3835] = 3835, + [3836] = 3836, + [3837] = 3837, + [3838] = 3838, + [3839] = 3827, + [3840] = 3838, + [3841] = 3828, + [3842] = 3842, + [3843] = 3843, + [3844] = 3844, + [3845] = 3845, + [3846] = 3846, + [3847] = 3847, + [3848] = 3848, + [3849] = 3842, + [3850] = 3850, + [3851] = 3851, + [3852] = 3843, + [3853] = 3847, + [3854] = 3854, + [3855] = 3846, + [3856] = 3844, + [3857] = 3857, + [3858] = 3858, + [3859] = 3859, + [3860] = 3860, + [3861] = 3843, + [3862] = 3862, + [3863] = 3863, + [3864] = 3864, + [3865] = 3865, + [3866] = 3860, + [3867] = 3865, + [3868] = 3868, + [3869] = 3869, + [3870] = 3870, + [3871] = 3868, + [3872] = 3872, + [3873] = 3873, + [3874] = 3874, + [3875] = 3858, + [3876] = 3876, + [3877] = 3865, + [3878] = 3865, + [3879] = 3865, + [3880] = 3880, + [3881] = 3881, + [3882] = 3865, + [3883] = 3869, + [3884] = 3884, + [3885] = 3865, + [3886] = 3865, + [3887] = 3887, + [3888] = 3865, + [3889] = 3884, + [3890] = 3865, + [3891] = 3891, + [3892] = 3892, + [3893] = 3893, + [3894] = 3894, + [3895] = 3865, + [3896] = 3872, + [3897] = 3897, + [3898] = 3898, + [3899] = 3899, + [3900] = 3900, + [3901] = 3864, + [3902] = 3865, + [3903] = 3903, + [3904] = 3865, + [3905] = 3899, + [3906] = 3897, + [3907] = 3874, + [3908] = 3908, + [3909] = 3842, + [3910] = 3900, + [3911] = 3865, + [3912] = 3880, + [3913] = 3865, + [3914] = 3893, + [3915] = 3865, + [3916] = 3865, + [3917] = 3917, + [3918] = 3917, + [3919] = 3863, + [3920] = 3920, + [3921] = 3921, + [3922] = 3894, + [3923] = 3873, + [3924] = 3924, + [3925] = 3925, + [3926] = 3926, + [3927] = 3927, + [3928] = 3928, + [3929] = 3929, + [3930] = 3930, + [3931] = 3931, + [3932] = 3932, + [3933] = 3933, + [3934] = 3934, + [3935] = 3935, + [3936] = 3936, + [3937] = 3937, + [3938] = 3938, + [3939] = 3939, + [3940] = 3858, + [3941] = 3874, + [3942] = 3873, + [3943] = 3943, + [3944] = 3944, + [3945] = 1817, + [3946] = 3946, + [3947] = 3947, + [3948] = 3948, + [3949] = 3949, + [3950] = 3863, + [3951] = 3951, + [3952] = 3952, + [3953] = 3953, + [3954] = 3954, + [3955] = 3955, + [3956] = 3956, + [3957] = 3957, + [3958] = 3958, + [3959] = 3959, + [3960] = 3960, + [3961] = 3961, + [3962] = 3962, + [3963] = 3963, + [3964] = 3955, + [3965] = 3965, + [3966] = 3966, + [3967] = 3967, + [3968] = 3968, + [3969] = 3934, + [3970] = 3970, + [3971] = 3936, + [3972] = 3972, + [3973] = 3973, + [3974] = 3974, + [3975] = 3952, + [3976] = 3976, + [3977] = 3977, + [3978] = 3917, + [3979] = 3967, + [3980] = 3937, + [3981] = 3965, + [3982] = 3880, + [3983] = 3966, + [3984] = 3984, + [3985] = 3985, + [3986] = 3925, + [3987] = 3987, + [3988] = 3984, + [3989] = 3989, + [3990] = 3990, + [3991] = 3991, + [3992] = 3973, + [3993] = 3989, + [3994] = 758, + [3995] = 3995, + [3996] = 3996, + [3997] = 3997, + [3998] = 3884, + [3999] = 3976, + [4000] = 4000, + [4001] = 3985, + [4002] = 3968, + [4003] = 4003, + [4004] = 4004, + [4005] = 3864, + [4006] = 4006, + [4007] = 4007, + [4008] = 3972, + [4009] = 3970, + [4010] = 3974, + [4011] = 3961, + [4012] = 3962, + [4013] = 3924, + [4014] = 3932, + [4015] = 4015, + [4016] = 3930, + [4017] = 3928, + [4018] = 3894, + [4019] = 3927, + [4020] = 4020, + [4021] = 3893, + [4022] = 3869, + [4023] = 3868, + [4024] = 4024, + [4025] = 3926, + [4026] = 3929, + [4027] = 4020, + [4028] = 4015, + [4029] = 3953, + [4030] = 3872, + [4031] = 3991, + [4032] = 4032, + [4033] = 3987, + [4034] = 3963, + [4035] = 3860, + [4036] = 3948, + [4037] = 4037, + [4038] = 4038, + [4039] = 4039, + [4040] = 4040, + [4041] = 4041, + [4042] = 4042, + [4043] = 4043, + [4044] = 4037, + [4045] = 4045, + [4046] = 4046, + [4047] = 4047, + [4048] = 4048, + [4049] = 4049, + [4050] = 4050, + [4051] = 4038, + [4052] = 4052, + [4053] = 4053, + [4054] = 4054, + [4055] = 4055, + [4056] = 4055, + [4057] = 4057, + [4058] = 4058, + [4059] = 4053, + [4060] = 4058, + [4061] = 4061, + [4062] = 4062, + [4063] = 4063, + [4064] = 4049, + [4065] = 4057, + [4066] = 4048, + [4067] = 4039, + [4068] = 4040, + [4069] = 4041, + [4070] = 4062, + [4071] = 4042, + [4072] = 4050, + [4073] = 4061, + [4074] = 4043, + [4075] = 4045, + [4076] = 4047, + [4077] = 4077, + [4078] = 4046, + [4079] = 4079, + [4080] = 4054, + [4081] = 4063, + [4082] = 4082, + [4083] = 512, + [4084] = 4077, + [4085] = 4085, + [4086] = 4082, + [4087] = 4087, + [4088] = 4088, + [4089] = 4089, + [4090] = 4090, + [4091] = 4050, + [4092] = 4092, + [4093] = 4088, + [4094] = 4094, + [4095] = 4047, + [4096] = 4096, + [4097] = 4038, + [4098] = 4098, + [4099] = 4099, + [4100] = 4062, + [4101] = 4101, + [4102] = 4102, + [4103] = 4037, + [4104] = 758, + [4105] = 4105, + [4106] = 4106, + [4107] = 4054, + [4108] = 4108, + [4109] = 4109, + [4110] = 4063, + [4111] = 4111, + [4112] = 4112, + [4113] = 4113, + [4114] = 4082, + [4115] = 4115, + [4116] = 4116, + [4117] = 4117, + [4118] = 4118, + [4119] = 4099, + [4120] = 4120, + [4121] = 4121, + [4122] = 4120, + [4123] = 4123, + [4124] = 4117, + [4125] = 4125, + [4126] = 4113, + [4127] = 4113, + [4128] = 4128, + [4129] = 4077, + [4130] = 4130, + [4131] = 4131, + [4132] = 4132, + [4133] = 4133, + [4134] = 4117, + [4135] = 4135, + [4136] = 4136, + [4137] = 4137, + [4138] = 4138, + [4139] = 4120, + [4140] = 4140, + [4141] = 4141, + [4142] = 4142, + [4143] = 4143, + [4144] = 4144, + [4145] = 4145, + [4146] = 4146, + [4147] = 4147, + [4148] = 4148, + [4149] = 4149, + [4150] = 4150, + [4151] = 4151, + [4152] = 4152, + [4153] = 4153, + [4154] = 4090, + [4155] = 4089, + [4156] = 4156, + [4157] = 4157, + [4158] = 4158, + [4159] = 4159, + [4160] = 4160, + [4161] = 4161, + [4162] = 4162, + [4163] = 4163, + [4164] = 4164, + [4165] = 4165, + [4166] = 4166, + [4167] = 4167, + [4168] = 4168, + [4169] = 4169, + [4170] = 4156, + [4171] = 4171, + [4172] = 4172, + [4173] = 4173, + [4174] = 4174, + [4175] = 4175, + [4176] = 4176, + [4177] = 4177, + [4178] = 4113, + [4179] = 4043, + [4180] = 4061, + [4181] = 4181, + [4182] = 4182, + [4183] = 4166, + [4184] = 4117, + [4185] = 4121, + [4186] = 4123, + [4187] = 4058, + [4188] = 4120, + [4189] = 4113, + [4190] = 4117, + [4191] = 4191, + [4192] = 4132, + [4193] = 4135, + [4194] = 4057, + [4195] = 4131, + [4196] = 4151, + [4197] = 4133, + [4198] = 4198, + [4199] = 4199, + [4200] = 4120, + [4201] = 4152, + [4202] = 4105, + [4203] = 4120, + [4204] = 4204, + [4205] = 4113, + [4206] = 4092, + [4207] = 4117, + [4208] = 3316, + [4209] = 4209, + [4210] = 4210, + [4211] = 614, + [4212] = 4212, + [4213] = 4213, + [4214] = 4214, + [4215] = 3335, + [4216] = 4216, + [4217] = 4217, + [4218] = 4218, + [4219] = 4219, + [4220] = 4209, + [4221] = 4218, + [4222] = 4222, + [4223] = 4210, + [4224] = 4224, + [4225] = 4225, + [4226] = 4226, + [4227] = 3371, + [4228] = 4214, + [4229] = 4216, + [4230] = 4230, + [4231] = 4213, + [4232] = 4232, + [4233] = 4233, + [4234] = 4234, + [4235] = 4219, + [4236] = 4236, + [4237] = 4237, + [4238] = 4238, + [4239] = 4230, + [4240] = 4226, + [4241] = 4224, + [4242] = 4212, + [4243] = 4243, + [4244] = 4232, + [4245] = 4225, + [4246] = 1938, + [4247] = 4217, + [4248] = 4248, + [4249] = 4233, + [4250] = 4250, + [4251] = 4251, + [4252] = 4236, + [4253] = 4253, + [4254] = 4254, + [4255] = 4216, + [4256] = 684, + [4257] = 4257, + [4258] = 4258, + [4259] = 4259, + [4260] = 4260, + [4261] = 4261, + [4262] = 4262, + [4263] = 4263, + [4264] = 4264, + [4265] = 4265, + [4266] = 4224, + [4267] = 4222, + [4268] = 4268, + [4269] = 4269, + [4270] = 4270, + [4271] = 4271, + [4272] = 4272, + [4273] = 4253, + [4274] = 4274, + [4275] = 4268, + [4276] = 4268, + [4277] = 4277, + [4278] = 4278, + [4279] = 4279, + [4280] = 4210, + [4281] = 4281, + [4282] = 4282, + [4283] = 4283, + [4284] = 4284, + [4285] = 4285, + [4286] = 1938, + [4287] = 4287, + [4288] = 4268, + [4289] = 4225, + [4290] = 4290, + [4291] = 4268, + [4292] = 4268, + [4293] = 4268, + [4294] = 4294, + [4295] = 4295, + [4296] = 4268, + [4297] = 4297, + [4298] = 4268, + [4299] = 4299, + [4300] = 4300, + [4301] = 4118, + [4302] = 4302, + [4303] = 4303, + [4304] = 4304, + [4305] = 4268, + [4306] = 4306, + [4307] = 4254, + [4308] = 4268, + [4309] = 4303, + [4310] = 4219, + [4311] = 4140, + [4312] = 685, + [4313] = 4269, + [4314] = 4314, + [4315] = 738, + [4316] = 4268, + [4317] = 681, + [4318] = 4318, + [4319] = 4257, + [4320] = 4209, + [4321] = 4321, + [4322] = 4268, + [4323] = 4323, + [4324] = 4268, + [4325] = 4217, + [4326] = 4326, + [4327] = 4226, + [4328] = 4328, + [4329] = 4329, + [4330] = 4233, + [4331] = 4331, + [4332] = 4332, + [4333] = 4333, + [4334] = 4268, + [4335] = 4232, + [4336] = 4294, + [4337] = 4274, + [4338] = 4268, + [4339] = 4268, + [4340] = 4302, + [4341] = 4341, + [4342] = 4268, + [4343] = 4343, + [4344] = 4344, + [4345] = 3793, + [4346] = 4346, + [4347] = 3798, + [4348] = 4348, + [4349] = 4349, + [4350] = 4350, + [4351] = 4351, + [4352] = 4352, + [4353] = 4353, + [4354] = 4354, + [4355] = 4355, + [4356] = 4356, + [4357] = 1926, + [4358] = 4358, + [4359] = 4359, + [4360] = 3797, + [4361] = 3800, + [4362] = 4350, + [4363] = 4363, + [4364] = 4364, + [4365] = 4365, + [4366] = 4366, + [4367] = 4367, + [4368] = 4368, + [4369] = 4369, + [4370] = 4370, + [4371] = 4371, + [4372] = 4372, + [4373] = 4373, + [4374] = 3119, + [4375] = 4375, + [4376] = 4376, + [4377] = 4377, + [4378] = 4378, + [4379] = 4379, + [4380] = 4380, + [4381] = 4381, + [4382] = 3090, + [4383] = 4383, + [4384] = 3441, + [4385] = 3461, + [4386] = 3459, + [4387] = 3428, + [4388] = 3458, + [4389] = 3457, + [4390] = 4390, + [4391] = 4391, + [4392] = 4392, + [4393] = 4393, + [4394] = 4394, + [4395] = 4395, + [4396] = 4396, + [4397] = 4397, + [4398] = 4398, + [4399] = 4399, + [4400] = 3447, + [4401] = 4401, + [4402] = 4402, + [4403] = 4403, + [4404] = 4404, + [4405] = 3440, + [4406] = 4406, + [4407] = 4407, + [4408] = 4408, + [4409] = 4409, + [4410] = 4363, + [4411] = 4411, + [4412] = 4412, + [4413] = 4413, + [4414] = 4381, + [4415] = 4415, + [4416] = 4416, + [4417] = 4364, + [4418] = 4418, + [4419] = 4419, + [4420] = 4420, + [4421] = 3453, + [4422] = 3451, + [4423] = 3450, + [4424] = 4424, + [4425] = 4425, + [4426] = 4426, + [4427] = 4427, + [4428] = 4428, + [4429] = 4429, + [4430] = 4430, + [4431] = 4431, + [4432] = 4432, + [4433] = 4433, + [4434] = 3444, + [4435] = 4435, + [4436] = 4436, + [4437] = 4437, + [4438] = 4438, + [4439] = 4439, + [4440] = 3442, + [4441] = 4369, + [4442] = 4442, + [4443] = 4375, + [4444] = 4431, + [4445] = 4370, + [4446] = 4376, + [4447] = 4447, + [4448] = 4426, + [4449] = 4425, + [4450] = 3438, + [4451] = 4366, + [4452] = 4424, + [4453] = 4419, + [4454] = 4454, + [4455] = 4455, + [4456] = 4367, + [4457] = 4368, + [4458] = 4371, + [4459] = 4438, + [4460] = 4437, + [4461] = 4411, + [4462] = 1886, + [4463] = 4409, + [4464] = 4464, + [4465] = 4408, + [4466] = 4407, + [4467] = 512, + [4468] = 1890, + [4469] = 1883, + [4470] = 4415, + [4471] = 4406, + [4472] = 4399, + [4473] = 4396, + [4474] = 4420, + [4475] = 4464, + [4476] = 4455, + [4477] = 4432, + [4478] = 4454, + [4479] = 4390, + [4480] = 4392, + [4481] = 4430, + [4482] = 4427, + [4483] = 4483, + [4484] = 4397, + [4485] = 4372, + [4486] = 4429, + [4487] = 4398, + [4488] = 4428, + [4489] = 4447, + [4490] = 4442, + [4491] = 4439, + [4492] = 4436, + [4493] = 4379, + [4494] = 4435, + [4495] = 4391, + [4496] = 4393, + [4497] = 4394, + [4498] = 4433, + [4499] = 4499, + [4500] = 4500, + [4501] = 4501, + [4502] = 4502, + [4503] = 4503, + [4504] = 4504, + [4505] = 4505, + [4506] = 4506, + [4507] = 4507, + [4508] = 4508, + [4509] = 4509, + [4510] = 4510, + [4511] = 4511, + [4512] = 4512, + [4513] = 4513, + [4514] = 4514, + [4515] = 4515, + [4516] = 4516, + [4517] = 4517, + [4518] = 4518, + [4519] = 4507, + [4520] = 4520, + [4521] = 4521, + [4522] = 1900, + [4523] = 541, + [4524] = 4506, + [4525] = 4505, + [4526] = 4526, + [4527] = 4500, + [4528] = 4528, + [4529] = 539, + [4530] = 4530, + [4531] = 4531, + [4532] = 4532, + [4533] = 4533, + [4534] = 4534, + [4535] = 4373, + [4536] = 4532, + [4537] = 4510, + [4538] = 4531, + [4539] = 4539, + [4540] = 4534, + [4541] = 4541, + [4542] = 4542, + [4543] = 4543, + [4544] = 4544, + [4545] = 4545, + [4546] = 4546, + [4547] = 4547, + [4548] = 4548, + [4549] = 4544, + [4550] = 4550, + [4551] = 4544, + [4552] = 4248, + [4553] = 4553, + [4554] = 4547, + [4555] = 4555, + [4556] = 4556, + [4557] = 4557, + [4558] = 4558, + [4559] = 4559, + [4560] = 4544, + [4561] = 4561, + [4562] = 4562, + [4563] = 4544, + [4564] = 4564, + [4565] = 4544, + [4566] = 4544, + [4567] = 4544, + [4568] = 4564, + [4569] = 4569, + [4570] = 4555, + [4571] = 4571, + [4572] = 4556, + [4573] = 4541, + [4574] = 4544, + [4575] = 4546, + [4576] = 4553, + [4577] = 4544, + [4578] = 4544, + [4579] = 4544, + [4580] = 4580, + [4581] = 4544, + [4582] = 4559, + [4583] = 4544, + [4584] = 4557, + [4585] = 4118, + [4586] = 4586, + [4587] = 4544, + [4588] = 4544, + [4589] = 1926, + [4590] = 4544, + [4591] = 1938, + [4592] = 4544, + [4593] = 4544, + [4594] = 4140, + [4595] = 4595, + [4596] = 4544, + [4597] = 4544, + [4598] = 4558, + [4599] = 4599, + [4600] = 4600, + [4601] = 4601, + [4602] = 4602, + [4603] = 4601, + [4604] = 4543, + [4605] = 4605, + [4606] = 4248, + [4607] = 4544, + [4608] = 4602, + [4609] = 4544, + [4610] = 4610, + [4611] = 4611, + [4612] = 4600, + [4613] = 4599, + [4614] = 4544, + [4615] = 4544, + [4616] = 4544, + [4617] = 1926, + [4618] = 3825, + [4619] = 4619, + [4620] = 4620, + [4621] = 4621, + [4622] = 4622, + [4623] = 4621, + [4624] = 4621, + [4625] = 4621, + [4626] = 4621, + [4627] = 4627, + [4628] = 4628, + [4629] = 4619, + [4630] = 4621, + [4631] = 4621, + [4632] = 4621, + [4633] = 3813, + [4634] = 3812, + [4635] = 4621, + [4636] = 4619, + [4637] = 4621, + [4638] = 4619, + [4639] = 4621, + [4640] = 4640, + [4641] = 4621, + [4642] = 4642, + [4643] = 4643, + [4644] = 4644, + [4645] = 4645, + [4646] = 4621, + [4647] = 4647, + [4648] = 4621, + [4649] = 4649, + [4650] = 4650, + [4651] = 4621, + [4652] = 4652, + [4653] = 4619, + [4654] = 4621, + [4655] = 3837, + [4656] = 4656, + [4657] = 4621, + [4658] = 4658, + [4659] = 4621, + [4660] = 3836, + [4661] = 4661, + [4662] = 4644, + [4663] = 4663, + [4664] = 4664, + [4665] = 4621, + [4666] = 4643, + [4667] = 4667, + [4668] = 4621, + [4669] = 3835, + [4670] = 4621, + [4671] = 4628, + [4672] = 3817, + [4673] = 4619, + [4674] = 4621, + [4675] = 4621, + [4676] = 4619, + [4677] = 4621, + [4678] = 4619, + [4679] = 4621, + [4680] = 4640, + [4681] = 3809, + [4682] = 4682, + [4683] = 4642, + [4684] = 4621, + [4685] = 4685, + [4686] = 4686, + [4687] = 4687, + [4688] = 4688, + [4689] = 4689, + [4690] = 4690, + [4691] = 4691, + [4692] = 4692, + [4693] = 4693, + [4694] = 4694, + [4695] = 4695, + [4696] = 4696, + [4697] = 4697, + [4698] = 4698, + [4699] = 4699, + [4700] = 4700, + [4701] = 4701, + [4702] = 4702, + [4703] = 4703, + [4704] = 4704, + [4705] = 4705, + [4706] = 4706, + [4707] = 4707, + [4708] = 4708, + [4709] = 4709, + [4710] = 4710, + [4711] = 4711, + [4712] = 4712, + [4713] = 4713, + [4714] = 4714, + [4715] = 4715, + [4716] = 4716, + [4717] = 4717, + [4718] = 4689, + [4719] = 4699, + [4720] = 4720, + [4721] = 4721, + [4722] = 4707, + [4723] = 4723, + [4724] = 4724, + [4725] = 4725, + [4726] = 4687, + [4727] = 4727, + [4728] = 4703, + [4729] = 4723, + [4730] = 4730, + [4731] = 4731, + [4732] = 4732, + [4733] = 4733, + [4734] = 4734, + [4735] = 4735, + [4736] = 4736, + [4737] = 4712, + [4738] = 4738, + [4739] = 4739, + [4740] = 4740, + [4741] = 4711, + [4742] = 4742, + [4743] = 4743, + [4744] = 4744, + [4745] = 4693, + [4746] = 4739, + [4747] = 4747, + [4748] = 4748, + [4749] = 4749, + [4750] = 4750, + [4751] = 4751, + [4752] = 4696, + [4753] = 4753, + [4754] = 4754, + [4755] = 4694, + [4756] = 4710, + [4757] = 4705, + [4758] = 4758, + [4759] = 4759, + [4760] = 4701, + [4761] = 4700, + [4762] = 4762, + [4763] = 4763, + [4764] = 4764, + [4765] = 4765, + [4766] = 4702, + [4767] = 4767, + [4768] = 4688, + [4769] = 4769, + [4770] = 4770, + [4771] = 4725, + [4772] = 4772, + [4773] = 4773, + [4774] = 4774, + [4775] = 4775, + [4776] = 4776, + [4777] = 4704, + [4778] = 4731, + [4779] = 4706, + [4780] = 4780, + [4781] = 4781, + [4782] = 4782, + [4783] = 4783, + [4784] = 4748, + [4785] = 4785, + [4786] = 4786, + [4787] = 4787, + [4788] = 4788, + [4789] = 4789, + [4790] = 4708, + [4791] = 4791, + [4792] = 4709, + [4793] = 4793, + [4794] = 4794, + [4795] = 4795, + [4796] = 4796, + [4797] = 4797, + [4798] = 4798, + [4799] = 4799, + [4800] = 4800, + [4801] = 4801, + [4802] = 4732, + [4803] = 4803, + [4804] = 4804, + [4805] = 4789, + [4806] = 4743, + [4807] = 4783, + [4808] = 4808, + [4809] = 4809, + [4810] = 4810, + [4811] = 4811, + [4812] = 4812, + [4813] = 4813, + [4814] = 4814, + [4815] = 4815, + [4816] = 4816, + [4817] = 4817, + [4818] = 4818, + [4819] = 4819, + [4820] = 4820, + [4821] = 4821, + [4822] = 4797, + [4823] = 4823, + [4824] = 4824, + [4825] = 4825, + [4826] = 4808, + [4827] = 4827, + [4828] = 4781, + [4829] = 4829, + [4830] = 4830, + [4831] = 4831, + [4832] = 4832, + [4833] = 4715, + [4834] = 4759, + [4835] = 4835, + [4836] = 4836, + [4837] = 4837, + [4838] = 4838, + [4839] = 4839, + [4840] = 4840, + [4841] = 4841, + [4842] = 4698, + [4843] = 4811, + [4844] = 4844, + [4845] = 4845, + [4846] = 4846, + [4847] = 4847, + [4848] = 4848, + [4849] = 4849, + [4850] = 4850, + [4851] = 4851, + [4852] = 4852, + [4853] = 4853, + [4854] = 4854, + [4855] = 4855, + [4856] = 4856, + [4857] = 4857, + [4858] = 4858, + [4859] = 4859, + [4860] = 4860, + [4861] = 4861, + [4862] = 4862, + [4863] = 4863, + [4864] = 4864, + [4865] = 4865, + [4866] = 4866, + [4867] = 4867, + [4868] = 4868, + [4869] = 4869, + [4870] = 4870, + [4871] = 4871, + [4872] = 4872, + [4873] = 4873, + [4874] = 4874, + [4875] = 4875, + [4876] = 4876, + [4877] = 4877, + [4878] = 4878, + [4879] = 4879, + [4880] = 4880, + [4881] = 4881, + [4882] = 4882, + [4883] = 4883, + [4884] = 4884, + [4885] = 4885, + [4886] = 4886, + [4887] = 4887, + [4888] = 4888, + [4889] = 4855, + [4890] = 4890, + [4891] = 4891, + [4892] = 4892, + [4893] = 4893, + [4894] = 4877, + [4895] = 4895, + [4896] = 4896, + [4897] = 4872, + [4898] = 4898, + [4899] = 4871, + [4900] = 4900, + [4901] = 4870, + [4902] = 4868, + [4903] = 4903, + [4904] = 4904, + [4905] = 4892, + [4906] = 4906, + [4907] = 4907, + [4908] = 4908, + [4909] = 4909, + [4910] = 4910, + [4911] = 4911, + [4912] = 4883, + [4913] = 4913, + [4914] = 4914, + [4915] = 4915, + [4916] = 4916, + [4917] = 4917, + [4918] = 4918, + [4919] = 4919, + [4920] = 4884, + [4921] = 4921, + [4922] = 4922, + [4923] = 4923, + [4924] = 4848, + [4925] = 4925, + [4926] = 4926, + [4927] = 4927, + [4928] = 4928, + [4929] = 4926, + [4930] = 4893, + [4931] = 4931, + [4932] = 4932, + [4933] = 4933, + [4934] = 4906, + [4935] = 4935, + [4936] = 4923, + [4937] = 4886, + [4938] = 4925, + [4939] = 4910, + [4940] = 4940, + [4941] = 4941, + [4942] = 4942, + [4943] = 4943, + [4944] = 4944, + [4945] = 4880, + [4946] = 4869, + [4947] = 4947, + [4948] = 4948, + [4949] = 4949, + [4950] = 4928, + [4951] = 4874, + [4952] = 4932, + [4953] = 4953, + [4954] = 4927, + [4955] = 4895, + [4956] = 4956, + [4957] = 4898, + [4958] = 4958, + [4959] = 4959, + [4960] = 4940, + [4961] = 4900, + [4962] = 4962, + [4963] = 4856, + [4964] = 4931, + [4965] = 4965, + [4966] = 4921, + [4967] = 4911, + [4968] = 4968, + [4969] = 4969, + [4970] = 4970, + [4971] = 4935, + [4972] = 4972, + [4973] = 4973, + [4974] = 4974, + [4975] = 4975, + [4976] = 4976, + [4977] = 4977, + [4978] = 4978, + [4979] = 4979, + [4980] = 4980, + [4981] = 4981, + [4982] = 4982, + [4983] = 4983, + [4984] = 4984, + [4985] = 4885, + [4986] = 4986, + [4987] = 4987, + [4988] = 4988, + [4989] = 4989, + [4990] = 4919, + [4991] = 4991, + [4992] = 4992, + [4993] = 4917, + [4994] = 4994, + [4995] = 4995, + [4996] = 4996, + [4997] = 4997, + [4998] = 4998, + [4999] = 4879, + [5000] = 5000, + [5001] = 4916, + [5002] = 5002, + [5003] = 5003, + [5004] = 5004, + [5005] = 5005, + [5006] = 5006, + [5007] = 5007, + [5008] = 5008, + [5009] = 5009, + [5010] = 5010, + [5011] = 5011, + [5012] = 5012, + [5013] = 5013, + [5014] = 5014, + [5015] = 4887, + [5016] = 5016, + [5017] = 5017, + [5018] = 5018, + [5019] = 5019, + [5020] = 4941, + [5021] = 5021, + [5022] = 5022, + [5023] = 4982, + [5024] = 5024, + [5025] = 4866, + [5026] = 5026, + [5027] = 5027, + [5028] = 4958, + [5029] = 5029, + [5030] = 5030, + [5031] = 5031, + [5032] = 4913, + [5033] = 4914, + [5034] = 5034, + [5035] = 5035, + [5036] = 4860, + [5037] = 5037, + [5038] = 4976, + [5039] = 4962, + [5040] = 4858, + [5041] = 5041, + [5042] = 5042, + [5043] = 5043, + [5044] = 5044, + [5045] = 5045, + [5046] = 4989, + [5047] = 5047, + [5048] = 5048, + [5049] = 4982, + [5050] = 4959, + [5051] = 5051, + [5052] = 5052, + [5053] = 5053, + [5054] = 5054, + [5055] = 5055, + [5056] = 4991, + [5057] = 5057, + [5058] = 4992, + [5059] = 5059, + [5060] = 5060, + [5061] = 5061, + [5062] = 5062, + [5063] = 5063, + [5064] = 4859, + [5065] = 5065, + [5066] = 5066, + [5067] = 5067, + [5068] = 5068, + [5069] = 5069, + [5070] = 5070, + [5071] = 4980, + [5072] = 5072, + [5073] = 5073, + [5074] = 4853, + [5075] = 4983, + [5076] = 5076, + [5077] = 5077, + [5078] = 4953, + [5079] = 4968, + [5080] = 5080, + [5081] = 5081, + [5082] = 5082, + [5083] = 4981, + [5084] = 5084, + [5085] = 4863, + [5086] = 5057, + [5087] = 5087, + [5088] = 5072, + [5089] = 5089, + [5090] = 5090, + [5091] = 5091, + [5092] = 5092, + [5093] = 5030, + [5094] = 5031, + [5095] = 4949, + [5096] = 5096, + [5097] = 5097, + [5098] = 5098, + [5099] = 5099, + [5100] = 5100, + [5101] = 5101, + [5102] = 5073, + [5103] = 5103, + [5104] = 5052, + [5105] = 5105, + [5106] = 5106, + [5107] = 4878, + [5108] = 5034, + [5109] = 4986, + [5110] = 4987, + [5111] = 4875, + [5112] = 5010, + [5113] = 5101, + [5114] = 4851, + [5115] = 4972, + [5116] = 5116, + [5117] = 5117, + [5118] = 5118, + [5119] = 5119, + [5120] = 5120, + [5121] = 5051, + [5122] = 5027, + [5123] = 5091, + [5124] = 5124, + [5125] = 4882, + [5126] = 5126, + [5127] = 5054, + [5128] = 5128, + [5129] = 5090, + [5130] = 5092, + [5131] = 5131, + [5132] = 5055, + [5133] = 5133, + [5134] = 5089, + [5135] = 4867, + [5136] = 5136, + [5137] = 4995, + [5138] = 4865, + [5139] = 5068, + [5140] = 5118, + [5141] = 5087, + [5142] = 5084, + [5143] = 5143, + [5144] = 5128, + [5145] = 4896, + [5146] = 5146, + [5147] = 5147, + [5148] = 4943, + [5149] = 5149, + [5150] = 5150, + [5151] = 5151, + [5152] = 5070, + [5153] = 5062, + [5154] = 5059, + [5155] = 4956, + [5156] = 5045, + [5157] = 4984, + [5158] = 4881, + [5159] = 5005, + [5160] = 5044, + [5161] = 5161, + [5162] = 5120, + [5163] = 5133, + [5164] = 5043, + [5165] = 4975, + [5166] = 5149, + [5167] = 5026, + [5168] = 5048, + [5169] = 5047, + [5170] = 4915, + [5171] = 5171, + [5172] = 5150, + [5173] = 5173, + [5174] = 5017, + [5175] = 5024, + [5176] = 4861, + [5177] = 4890, + [5178] = 5136, + [5179] = 5179, + [5180] = 4888, + [5181] = 5181, + [5182] = 5182, + [5183] = 5173, + [5184] = 5184, + [5185] = 5185, + [5186] = 5186, + [5187] = 5187, + [5188] = 5188, + [5189] = 5189, + [5190] = 5190, + [5191] = 5191, + [5192] = 5192, + [5193] = 5193, + [5194] = 5194, + [5195] = 5195, + [5196] = 5196, + [5197] = 5197, + [5198] = 3830, + [5199] = 5199, + [5200] = 5200, + [5201] = 5201, + [5202] = 5202, + [5203] = 5203, + [5204] = 5204, + [5205] = 5205, + [5206] = 5206, + [5207] = 5207, + [5208] = 5208, + [5209] = 5209, + [5210] = 5210, + [5211] = 2126, + [5212] = 5212, + [5213] = 5213, + [5214] = 5214, + [5215] = 5215, + [5216] = 5216, + [5217] = 5217, + [5218] = 5218, + [5219] = 5219, + [5220] = 5220, + [5221] = 5221, + [5222] = 5222, + [5223] = 5223, + [5224] = 5224, + [5225] = 5220, + [5226] = 5226, + [5227] = 5227, + [5228] = 5228, + [5229] = 5229, + [5230] = 5230, + [5231] = 5231, + [5232] = 5232, + [5233] = 5233, + [5234] = 5234, + [5235] = 5235, + [5236] = 5236, + [5237] = 5237, + [5238] = 5238, + [5239] = 5239, + [5240] = 5240, + [5241] = 5241, + [5242] = 5242, + [5243] = 5243, + [5244] = 5244, + [5245] = 5245, + [5246] = 5246, + [5247] = 5191, + [5248] = 5248, + [5249] = 5249, + [5250] = 5250, + [5251] = 5251, + [5252] = 5194, + [5253] = 5253, + [5254] = 5254, + [5255] = 5255, + [5256] = 5256, + [5257] = 5257, + [5258] = 5258, + [5259] = 5259, + [5260] = 5260, + [5261] = 5261, + [5262] = 5262, + [5263] = 5263, + [5264] = 5195, + [5265] = 5265, + [5266] = 5266, + [5267] = 5267, + [5268] = 5268, + [5269] = 5269, + [5270] = 1526, + [5271] = 5271, + [5272] = 5272, + [5273] = 5273, + [5274] = 5262, + [5275] = 5194, + [5276] = 4595, + [5277] = 5194, + [5278] = 5278, + [5279] = 5194, + [5280] = 5280, + [5281] = 5281, + [5282] = 5282, + [5283] = 5283, + [5284] = 5284, + [5285] = 5194, + [5286] = 5286, + [5287] = 5287, + [5288] = 5288, + [5289] = 5249, + [5290] = 5290, + [5291] = 5291, + [5292] = 5292, + [5293] = 5293, + [5294] = 5294, + [5295] = 5295, + [5296] = 5221, + [5297] = 5297, + [5298] = 5282, + [5299] = 5299, + [5300] = 5300, + [5301] = 5273, + [5302] = 5302, + [5303] = 5303, + [5304] = 5194, + [5305] = 5305, + [5306] = 5194, + [5307] = 5307, + [5308] = 5308, + [5309] = 5309, + [5310] = 5310, + [5311] = 5311, + [5312] = 5239, + [5313] = 5313, + [5314] = 5308, + [5315] = 5236, + [5316] = 5214, + [5317] = 5269, + [5318] = 5318, + [5319] = 5278, + [5320] = 5280, + [5321] = 5321, + [5322] = 5281, + [5323] = 5323, + [5324] = 5324, + [5325] = 5311, + [5326] = 5310, + [5327] = 5327, + [5328] = 5328, + [5329] = 5329, + [5330] = 5330, + [5331] = 5331, + [5332] = 5332, + [5333] = 5291, + [5334] = 5334, + [5335] = 5323, + [5336] = 5336, + [5337] = 5337, + [5338] = 5338, + [5339] = 5339, + [5340] = 5340, + [5341] = 5341, + [5342] = 5342, + [5343] = 5343, + [5344] = 5344, + [5345] = 5345, + [5346] = 5346, + [5347] = 5347, + [5348] = 5348, + [5349] = 5349, + [5350] = 5350, + [5351] = 5351, + [5352] = 5352, + [5353] = 5353, + [5354] = 5354, + [5355] = 5355, + [5356] = 5355, + [5357] = 5355, + [5358] = 5355, + [5359] = 5359, + [5360] = 5360, + [5361] = 5361, + [5362] = 5362, + [5363] = 5363, + [5364] = 5364, + [5365] = 5365, + [5366] = 5366, + [5367] = 5367, + [5368] = 5338, + [5369] = 5369, + [5370] = 5370, + [5371] = 5371, + [5372] = 5372, + [5373] = 5373, + [5374] = 5355, + [5375] = 5375, + [5376] = 5376, + [5377] = 5377, + [5378] = 5378, + [5379] = 5379, + [5380] = 5380, + [5381] = 5381, + [5382] = 5382, + [5383] = 5383, + [5384] = 5384, + [5385] = 5385, + [5386] = 5386, + [5387] = 5387, + [5388] = 5388, + [5389] = 5389, + [5390] = 5390, + [5391] = 5391, + [5392] = 5385, + [5393] = 5334, + [5394] = 5394, + [5395] = 5395, + [5396] = 5396, + [5397] = 5397, + [5398] = 5398, + [5399] = 5399, + [5400] = 5400, + [5401] = 5401, + [5402] = 5402, + [5403] = 5403, + [5404] = 5404, + [5405] = 5405, + [5406] = 5406, + [5407] = 5407, + [5408] = 5408, + [5409] = 5409, + [5410] = 5391, + [5411] = 5355, + [5412] = 5394, + [5413] = 5338, + [5414] = 5414, + [5415] = 5415, + [5416] = 5416, + [5417] = 5362, + [5418] = 5418, + [5419] = 5419, + [5420] = 5362, + [5421] = 5421, + [5422] = 5422, + [5423] = 5423, + [5424] = 5424, + [5425] = 5425, + [5426] = 5426, + [5427] = 5427, + [5428] = 5428, + [5429] = 5429, + [5430] = 5430, + [5431] = 5431, + [5432] = 5432, + [5433] = 5433, + [5434] = 5434, + [5435] = 5435, + [5436] = 5391, + [5437] = 5394, + [5438] = 5431, + [5439] = 5439, + [5440] = 5429, + [5441] = 5435, + [5442] = 5434, + [5443] = 5425, + [5444] = 5427, + [5445] = 5395, + [5446] = 5397, + [5447] = 5447, + [5448] = 5448, + [5449] = 5449, + [5450] = 5388, + [5451] = 5451, + [5452] = 5452, + [5453] = 5362, + [5454] = 5383, + [5455] = 5391, + [5456] = 5394, + [5457] = 5395, + [5458] = 5458, + [5459] = 5459, + [5460] = 5397, + [5461] = 5461, + [5462] = 5462, + [5463] = 5248, + [5464] = 5464, + [5465] = 5362, + [5466] = 5466, + [5467] = 5467, + [5468] = 5206, + [5469] = 5469, + [5470] = 5470, + [5471] = 5471, + [5472] = 5472, + [5473] = 5473, + [5474] = 5474, + [5475] = 5475, + [5476] = 5476, + [5477] = 5477, + [5478] = 5478, + [5479] = 5479, + [5480] = 5401, + [5481] = 5396, + [5482] = 5482, + [5483] = 5483, + [5484] = 5484, + [5485] = 5485, + [5486] = 5486, + [5487] = 5487, + [5488] = 5359, + [5489] = 5489, + [5490] = 5490, + [5491] = 5350, + [5492] = 5492, + [5493] = 5348, + [5494] = 5346, + [5495] = 5495, + [5496] = 5344, + [5497] = 5340, + [5498] = 5418, + [5499] = 5499, + [5500] = 5500, + [5501] = 5501, + [5502] = 5502, + [5503] = 5503, + [5504] = 5416, + [5505] = 5505, + [5506] = 5506, + [5507] = 5507, + [5508] = 5508, + [5509] = 5509, + [5510] = 5510, + [5511] = 5511, + [5512] = 5512, + [5513] = 5513, + [5514] = 5514, + [5515] = 5395, + [5516] = 5397, + [5517] = 5517, + [5518] = 5518, + [5519] = 5519, + [5520] = 5520, + [5521] = 5521, + [5522] = 4595, + [5523] = 5523, + [5524] = 5524, + [5525] = 5525, + [5526] = 5526, + [5527] = 5527, + [5528] = 5528, + [5529] = 5529, + [5530] = 5530, + [5531] = 5531, + [5532] = 5124, + [5533] = 5528, + [5534] = 5534, + [5535] = 5535, + [5536] = 5375, + [5537] = 5537, + [5538] = 5538, + [5539] = 5373, + [5540] = 5371, + [5541] = 5369, + [5542] = 5542, + [5543] = 5367, + [5544] = 5366, + [5545] = 5545, + [5546] = 5365, + [5547] = 5424, + [5548] = 5548, + [5549] = 5397, + [5550] = 5395, + [5551] = 5551, + [5552] = 5552, + [5553] = 5362, + [5554] = 5510, + [5555] = 5337, + [5556] = 5505, + [5557] = 5501, + [5558] = 5558, + [5559] = 5492, + [5560] = 5560, + [5561] = 5561, + [5562] = 5223, + [5563] = 5563, + [5564] = 5564, + [5565] = 5565, + [5566] = 5566, + [5567] = 5567, + [5568] = 5568, + [5569] = 5569, + [5570] = 5570, + [5571] = 5571, + [5572] = 5572, + [5573] = 5573, + [5574] = 5574, + [5575] = 5575, + [5576] = 5576, + [5577] = 5577, + [5578] = 5578, + [5579] = 5579, + [5580] = 5580, + [5581] = 5581, + [5582] = 5582, + [5583] = 5583, + [5584] = 5584, + [5585] = 5585, + [5586] = 5586, + [5587] = 5587, + [5588] = 5588, + [5589] = 5477, + [5590] = 5475, + [5591] = 5470, + [5592] = 5469, + [5593] = 5593, + [5594] = 5354, + [5595] = 5474, + [5596] = 5347, + [5597] = 5597, + [5598] = 5467, + [5599] = 5466, + [5600] = 5600, + [5601] = 5601, + [5602] = 5602, + [5603] = 5342, + [5604] = 5604, + [5605] = 5605, + [5606] = 5343, + [5607] = 5607, + [5608] = 5608, + [5609] = 5609, + [5610] = 5610, + [5611] = 5611, + [5612] = 5612, + [5613] = 5459, + [5614] = 5349, + [5615] = 5615, + [5616] = 5616, + [5617] = 5458, + [5618] = 5618, + [5619] = 5419, + [5620] = 5620, + [5621] = 5428, + [5622] = 5622, + [5623] = 5623, + [5624] = 5351, + [5625] = 5422, + [5626] = 5626, + [5627] = 5627, + [5628] = 5628, + [5629] = 5629, + [5630] = 5630, + [5631] = 5631, + [5632] = 5407, + [5633] = 5360, + [5634] = 5361, + [5635] = 5635, + [5636] = 5636, + [5637] = 5363, + [5638] = 5364, + [5639] = 5639, + [5640] = 5640, + [5641] = 5641, + [5642] = 5642, + [5643] = 4140, + [5644] = 4118, + [5645] = 5645, + [5646] = 5646, + [5647] = 5647, + [5648] = 5648, + [5649] = 5649, + [5650] = 5650, + [5651] = 5376, + [5652] = 5433, + [5653] = 5382, + [5654] = 5430, + [5655] = 5655, + [5656] = 5656, + [5657] = 5657, + [5658] = 5658, + [5659] = 5659, + [5660] = 5660, + [5661] = 5661, + [5662] = 5662, + [5663] = 5663, + [5664] = 5389, + [5665] = 5385, + [5666] = 5666, + [5667] = 5520, + [5668] = 5404, + [5669] = 5126, + [5670] = 5670, + [5671] = 5671, + [5672] = 5672, + [5673] = 5379, + [5674] = 5674, + [5675] = 5675, + [5676] = 5391, + [5677] = 5394, + [5678] = 5678, + [5679] = 5679, + [5680] = 5680, + [5681] = 4947, + [5682] = 5682, + [5683] = 5683, + [5684] = 5684, + [5685] = 5685, + [5686] = 5686, + [5687] = 5687, + [5688] = 5688, + [5689] = 5689, + [5690] = 5690, + [5691] = 5691, + [5692] = 5692, + [5693] = 5693, + [5694] = 5694, + [5695] = 5695, + [5696] = 5696, + [5697] = 5697, + [5698] = 5698, + [5699] = 5699, + [5700] = 5700, + [5701] = 5701, + [5702] = 5702, + [5703] = 5703, + [5704] = 5704, + [5705] = 5705, + [5706] = 5706, + [5707] = 5707, + [5708] = 5708, + [5709] = 5709, + [5710] = 5710, + [5711] = 5711, + [5712] = 5712, + [5713] = 5713, + [5714] = 5714, + [5715] = 5715, + [5716] = 5716, + [5717] = 5717, + [5718] = 5718, + [5719] = 5719, + [5720] = 5720, + [5721] = 5721, + [5722] = 5722, + [5723] = 5723, + [5724] = 5695, + [5725] = 5725, + [5726] = 5726, + [5727] = 5727, + [5728] = 5728, + [5729] = 5729, + [5730] = 5730, + [5731] = 5731, + [5732] = 5728, + [5733] = 5733, + [5734] = 5734, + [5735] = 5711, + [5736] = 5736, + [5737] = 5737, + [5738] = 5738, + [5739] = 5739, + [5740] = 5740, + [5741] = 5741, + [5742] = 5742, + [5743] = 5743, + [5744] = 5744, + [5745] = 5745, + [5746] = 5694, + [5747] = 5697, + [5748] = 5698, + [5749] = 5699, + [5750] = 5750, + [5751] = 5751, + [5752] = 5752, + [5753] = 5753, + [5754] = 5754, + [5755] = 5755, + [5756] = 5756, + [5757] = 5757, + [5758] = 5758, + [5759] = 5759, + [5760] = 5760, + [5761] = 5761, + [5762] = 5762, + [5763] = 5763, + [5764] = 5764, + [5765] = 5765, + [5766] = 5766, + [5767] = 5727, + [5768] = 5768, + [5769] = 5769, + [5770] = 5770, + [5771] = 5771, + [5772] = 5772, + [5773] = 5773, + [5774] = 5774, + [5775] = 5775, + [5776] = 5776, + [5777] = 5777, + [5778] = 5729, + [5779] = 5779, + [5780] = 5780, + [5781] = 5781, + [5782] = 5782, + [5783] = 5783, + [5784] = 5784, + [5785] = 5785, + [5786] = 5786, + [5787] = 5787, + [5788] = 5788, + [5789] = 5789, + [5790] = 5790, + [5791] = 5701, + [5792] = 5792, + [5793] = 5793, + [5794] = 5794, + [5795] = 5738, + [5796] = 5757, + [5797] = 5761, + [5798] = 5798, + [5799] = 5799, + [5800] = 5800, + [5801] = 5801, + [5802] = 5802, + [5803] = 5803, + [5804] = 5802, + [5805] = 5805, + [5806] = 5802, + [5807] = 5807, + [5808] = 5802, + [5809] = 5802, + [5810] = 5810, + [5811] = 5811, + [5812] = 5812, + [5813] = 5802, + [5814] = 5814, + [5815] = 5815, + [5816] = 5816, + [5817] = 5802, + [5818] = 5818, + [5819] = 5819, + [5820] = 5820, + [5821] = 5821, + [5822] = 5802, + [5823] = 5823, + [5824] = 5824, + [5825] = 5825, + [5826] = 5802, + [5827] = 5700, + [5828] = 5802, + [5829] = 5829, + [5830] = 5830, + [5831] = 5831, + [5832] = 5802, + [5833] = 5833, + [5834] = 5834, + [5835] = 5835, + [5836] = 5836, + [5837] = 5802, + [5838] = 5838, + [5839] = 5839, + [5840] = 5840, + [5841] = 5802, + [5842] = 5842, + [5843] = 5843, + [5844] = 5844, + [5845] = 5845, + [5846] = 5846, + [5847] = 5802, + [5848] = 5848, + [5849] = 5849, + [5850] = 5802, + [5851] = 5851, + [5852] = 5852, + [5853] = 5702, + [5854] = 5703, + [5855] = 5704, + [5856] = 5705, + [5857] = 5708, + [5858] = 5709, + [5859] = 5712, + [5860] = 5713, + [5861] = 5861, + [5862] = 5801, + [5863] = 5779, + [5864] = 5864, + [5865] = 5865, + [5866] = 5866, + [5867] = 5774, + [5868] = 5775, + [5869] = 5869, + [5870] = 5783, + [5871] = 5777, + [5872] = 5802, + [5873] = 5776, + [5874] = 5874, + [5875] = 5875, + [5876] = 5876, + [5877] = 5877, + [5878] = 5771, + [5879] = 5770, + [5880] = 5880, + [5881] = 5876, + [5882] = 5882, + [5883] = 5883, + [5884] = 5874, + [5885] = 5769, + [5886] = 5886, + [5887] = 5887, + [5888] = 5865, + [5889] = 5864, + [5890] = 5890, + [5891] = 5891, + [5892] = 5892, + [5893] = 5893, + [5894] = 5802, + [5895] = 5763, + [5896] = 5896, + [5897] = 5845, + [5898] = 5898, + [5899] = 5844, + [5900] = 5900, + [5901] = 5901, + [5902] = 5714, + [5903] = 5903, + [5904] = 5904, + [5905] = 5905, + [5906] = 5688, + [5907] = 5907, + [5908] = 5908, + [5909] = 5830, + [5910] = 5716, + [5911] = 5719, + [5912] = 5802, + [5913] = 5720, + [5914] = 5721, + [5915] = 5915, + [5916] = 5916, + [5917] = 5917, + [5918] = 5918, + [5919] = 5919, + [5920] = 5920, + [5921] = 5921, + [5922] = 5922, + [5923] = 5840, + [5924] = 5924, + [5925] = 5917, + [5926] = 5926, + [5927] = 5811, + [5928] = 5928, + [5929] = 5799, + [5930] = 5930, + [5931] = 5722, + [5932] = 5932, + [5933] = 5933, + [5934] = 5934, + [5935] = 5935, + [5936] = 5936, + [5937] = 5937, + [5938] = 5938, + [5939] = 5939, + [5940] = 5940, + [5941] = 5941, + [5942] = 5942, + [5943] = 5943, + [5944] = 5944, + [5945] = 5945, + [5946] = 5946, + [5947] = 5947, + [5948] = 5948, + [5949] = 5949, + [5950] = 5950, + [5951] = 5951, + [5952] = 5952, + [5953] = 5953, + [5954] = 5954, + [5955] = 5955, + [5956] = 5956, + [5957] = 5957, + [5958] = 5958, + [5959] = 5959, + [5960] = 5960, + [5961] = 5961, + [5962] = 5962, + [5963] = 5963, + [5964] = 5964, + [5965] = 5965, + [5966] = 5966, + [5967] = 5967, + [5968] = 5968, + [5969] = 5969, + [5970] = 5970, + [5971] = 5971, + [5972] = 5972, + [5973] = 5973, + [5974] = 5974, + [5975] = 5975, + [5976] = 5976, + [5977] = 5977, + [5978] = 5978, + [5979] = 5979, + [5980] = 5980, + [5981] = 5981, + [5982] = 5982, + [5983] = 5983, + [5984] = 5984, + [5985] = 5985, + [5986] = 5986, + [5987] = 5987, + [5988] = 5988, + [5989] = 5989, + [5990] = 5990, + [5991] = 5991, + [5992] = 5992, + [5993] = 5993, + [5994] = 5994, + [5995] = 5995, + [5996] = 5996, + [5997] = 5997, + [5998] = 5998, + [5999] = 5999, + [6000] = 6000, + [6001] = 6001, + [6002] = 6002, + [6003] = 6003, + [6004] = 6004, + [6005] = 6005, + [6006] = 6006, + [6007] = 6007, + [6008] = 6008, + [6009] = 6009, + [6010] = 6010, + [6011] = 6011, + [6012] = 6012, + [6013] = 6013, + [6014] = 6014, + [6015] = 6015, + [6016] = 6016, + [6017] = 6017, + [6018] = 6018, + [6019] = 6019, + [6020] = 6020, + [6021] = 6021, + [6022] = 6022, + [6023] = 6023, + [6024] = 6024, + [6025] = 6025, + [6026] = 6026, + [6027] = 6027, + [6028] = 6028, + [6029] = 6029, + [6030] = 6030, + [6031] = 6031, + [6032] = 6032, + [6033] = 6033, + [6034] = 6034, + [6035] = 6035, + [6036] = 6036, + [6037] = 6037, + [6038] = 6038, + [6039] = 6039, + [6040] = 6040, + [6041] = 6041, + [6042] = 6042, + [6043] = 6043, + [6044] = 6044, + [6045] = 6045, + [6046] = 6046, + [6047] = 6047, + [6048] = 6048, + [6049] = 6049, + [6050] = 6050, + [6051] = 6051, + [6052] = 6052, + [6053] = 6053, + [6054] = 6054, + [6055] = 6055, + [6056] = 6056, + [6057] = 6057, + [6058] = 6058, + [6059] = 6059, + [6060] = 6060, + [6061] = 6061, + [6062] = 6062, + [6063] = 6063, + [6064] = 6064, + [6065] = 6065, + [6066] = 6066, + [6067] = 6067, + [6068] = 6068, + [6069] = 6069, + [6070] = 6070, + [6071] = 6071, + [6072] = 6072, + [6073] = 6073, + [6074] = 6074, + [6075] = 6075, + [6076] = 6076, + [6077] = 6077, + [6078] = 6078, + [6079] = 6079, + [6080] = 6080, + [6081] = 6081, + [6082] = 6082, + [6083] = 6083, + [6084] = 6084, + [6085] = 6085, + [6086] = 6086, + [6087] = 6087, + [6088] = 6088, + [6089] = 6089, + [6090] = 6090, + [6091] = 6091, + [6092] = 6092, + [6093] = 6093, + [6094] = 6094, + [6095] = 6095, + [6096] = 6096, + [6097] = 6097, + [6098] = 6098, + [6099] = 6099, + [6100] = 6100, + [6101] = 6101, + [6102] = 6102, + [6103] = 6103, + [6104] = 6104, + [6105] = 6105, + [6106] = 6106, + [6107] = 6107, + [6108] = 6108, + [6109] = 6109, + [6110] = 6110, + [6111] = 6111, + [6112] = 6112, + [6113] = 6113, + [6114] = 6114, + [6115] = 6115, + [6116] = 6116, + [6117] = 6117, + [6118] = 6118, + [6119] = 6119, + [6120] = 6120, + [6121] = 6121, + [6122] = 6122, + [6123] = 6123, + [6124] = 6124, + [6125] = 6125, + [6126] = 6126, + [6127] = 6127, + [6128] = 6128, + [6129] = 6129, + [6130] = 6130, + [6131] = 6131, + [6132] = 6132, + [6133] = 6133, + [6134] = 6134, + [6135] = 6135, + [6136] = 6136, + [6137] = 6137, + [6138] = 6138, + [6139] = 6139, + [6140] = 6140, + [6141] = 6141, + [6142] = 6142, + [6143] = 6143, + [6144] = 6144, + [6145] = 6145, + [6146] = 6146, + [6147] = 6147, + [6148] = 6148, + [6149] = 6149, + [6150] = 6150, + [6151] = 6151, + [6152] = 6152, + [6153] = 6153, + [6154] = 6154, + [6155] = 6155, + [6156] = 6156, + [6157] = 6157, + [6158] = 6158, + [6159] = 6159, + [6160] = 6160, + [6161] = 6161, + [6162] = 6162, + [6163] = 6163, + [6164] = 6164, + [6165] = 6165, + [6166] = 6166, + [6167] = 6036, + [6168] = 6037, + [6169] = 6169, + [6170] = 6170, + [6171] = 6171, + [6172] = 6172, + [6173] = 6173, + [6174] = 6174, + [6175] = 6175, + [6176] = 6176, + [6177] = 6177, + [6178] = 6047, + [6179] = 6049, + [6180] = 6180, + [6181] = 6181, + [6182] = 6182, + [6183] = 6183, + [6184] = 6184, + [6185] = 6185, + [6186] = 6186, + [6187] = 6187, + [6188] = 6188, + [6189] = 6189, + [6190] = 6082, + [6191] = 6083, + [6192] = 6084, + [6193] = 6193, + [6194] = 6194, + [6195] = 6195, + [6196] = 6196, + [6197] = 6112, + [6198] = 6198, + [6199] = 6114, + [6200] = 6115, + [6201] = 6116, + [6202] = 6202, + [6203] = 6203, + [6204] = 6204, + [6205] = 6205, + [6206] = 6206, + [6207] = 6207, + [6208] = 6208, + [6209] = 6209, + [6210] = 6210, + [6211] = 6211, + [6212] = 6212, + [6213] = 6213, + [6214] = 6214, + [6215] = 6215, + [6216] = 6216, + [6217] = 6217, + [6218] = 6218, + [6219] = 6219, + [6220] = 6220, + [6221] = 6221, + [6222] = 6222, + [6223] = 6223, + [6224] = 6224, + [6225] = 6225, + [6226] = 6226, + [6227] = 6227, + [6228] = 6228, + [6229] = 6229, + [6230] = 6230, + [6231] = 6231, + [6232] = 6232, + [6233] = 6233, + [6234] = 6234, + [6235] = 6235, + [6236] = 6236, + [6237] = 6237, + [6238] = 6238, + [6239] = 6239, + [6240] = 6240, + [6241] = 6241, + [6242] = 6242, + [6243] = 6036, + [6244] = 6037, + [6245] = 6245, + [6246] = 6246, + [6247] = 6247, + [6248] = 6248, + [6249] = 6249, + [6250] = 6047, + [6251] = 6049, + [6252] = 6252, + [6253] = 6253, + [6254] = 6254, + [6255] = 6255, + [6256] = 6082, + [6257] = 6083, + [6258] = 6084, + [6259] = 6259, + [6260] = 6260, + [6261] = 6112, + [6262] = 6262, + [6263] = 6114, + [6264] = 6115, + [6265] = 6116, + [6266] = 6266, + [6267] = 6267, + [6268] = 6268, + [6269] = 6036, + [6270] = 6037, + [6271] = 6271, + [6272] = 6272, + [6273] = 6273, + [6274] = 6274, + [6275] = 6275, + [6276] = 6049, + [6277] = 6277, + [6278] = 6278, + [6279] = 6083, + [6280] = 6084, + [6281] = 6281, + [6282] = 6114, + [6283] = 6115, + [6284] = 6116, + [6285] = 6285, + [6286] = 6036, + [6287] = 6037, + [6288] = 6288, + [6289] = 6289, + [6290] = 6290, + [6291] = 6291, + [6292] = 6292, + [6293] = 6293, + [6294] = 6036, + [6295] = 6037, + [6296] = 6296, + [6297] = 6297, + [6298] = 6298, + [6299] = 6299, + [6300] = 6300, + [6301] = 6301, + [6302] = 6036, + [6303] = 6037, + [6304] = 6304, + [6305] = 6305, + [6306] = 6306, + [6307] = 6307, + [6308] = 6308, + [6309] = 6036, + [6310] = 6037, + [6311] = 6311, + [6312] = 6312, + [6313] = 6313, + [6314] = 6314, + [6315] = 6315, + [6316] = 6036, + [6317] = 6037, + [6318] = 6318, + [6319] = 5962, + [6320] = 6320, + [6321] = 6321, + [6322] = 6322, + [6323] = 6323, + [6324] = 6324, + [6325] = 6325, + [6326] = 6326, + [6327] = 6327, + [6328] = 6328, + [6329] = 6329, + [6330] = 6106, + [6331] = 6331, + [6332] = 6332, + [6333] = 6105, + [6334] = 6334, + [6335] = 6335, + [6336] = 6098, + [6337] = 6337, + [6338] = 6338, + [6339] = 6096, + [6340] = 6087, + [6341] = 6341, + [6342] = 5979, + [6343] = 6043, + [6344] = 6344, + [6345] = 6040, + [6346] = 6346, + [6347] = 6347, + [6348] = 6348, + [6349] = 6349, + [6350] = 6350, + [6351] = 6351, + [6352] = 6352, + [6353] = 6353, + [6354] = 6354, + [6355] = 6355, + [6356] = 6356, + [6357] = 6357, + [6358] = 6029, + [6359] = 6359, + [6360] = 6360, + [6361] = 6361, + [6362] = 6362, + [6363] = 6027, + [6364] = 6364, + [6365] = 6365, + [6366] = 6366, + [6367] = 6367, + [6368] = 6368, + [6369] = 6369, + [6370] = 6370, + [6371] = 6371, + [6372] = 6026, + [6373] = 6373, + [6374] = 6374, + [6375] = 6375, + [6376] = 5992, + [6377] = 6377, + [6378] = 6378, + [6379] = 5986, + [6380] = 6380, + [6381] = 5985, + [6382] = 5984, + [6383] = 5983, + [6384] = 6384, + [6385] = 5934, + [6386] = 5935, + [6387] = 5936, + [6388] = 5937, + [6389] = 6389, + [6390] = 6390, + [6391] = 6391, + [6392] = 6392, + [6393] = 6393, + [6394] = 6394, + [6395] = 5938, + [6396] = 5939, + [6397] = 5940, + [6398] = 5941, + [6399] = 6399, + [6400] = 5942, + [6401] = 5943, + [6402] = 6073, + [6403] = 5945, + [6404] = 5946, + [6405] = 6328, + [6406] = 6406, + [6407] = 5951, + [6408] = 6408, + [6409] = 6409, + [6410] = 6410, + [6411] = 6411, + [6412] = 6412, + [6413] = 6413, + [6414] = 6414, + [6415] = 6415, + [6416] = 6416, + [6417] = 6417, + [6418] = 6418, + [6419] = 6419, + [6420] = 6420, + [6421] = 6421, + [6422] = 6422, + [6423] = 6423, + [6424] = 6424, + [6425] = 6425, + [6426] = 6426, + [6427] = 6427, + [6428] = 6428, + [6429] = 6429, + [6430] = 5958, + [6431] = 6431, + [6432] = 6432, + [6433] = 5959, + [6434] = 6434, + [6435] = 6435, + [6436] = 5960, + [6437] = 6437, + [6438] = 6438, + [6439] = 5961, + [6440] = 6440, + [6441] = 6441, + [6442] = 6442, + [6443] = 6324, + [6444] = 6444, + [6445] = 6445, + [6446] = 6446, + [6447] = 6447, + [6448] = 6448, + [6449] = 6034, + [6450] = 6450, + [6451] = 6451, + [6452] = 5963, + [6453] = 5964, + [6454] = 6454, + [6455] = 5965, + [6456] = 5966, + [6457] = 5967, + [6458] = 6323, + [6459] = 5970, + [6460] = 5980, + [6461] = 5981, + [6462] = 6462, + [6463] = 6463, + [6464] = 6322, + [6465] = 5982, + [6466] = 6466, + [6467] = 6467, + [6468] = 6468, + [6469] = 6469, + [6470] = 6470, + [6471] = 6471, + [6472] = 5987, + [6473] = 5988, + [6474] = 5956, + [6475] = 5990, + [6476] = 6476, + [6477] = 6477, + [6478] = 6478, + [6479] = 6479, + [6480] = 6480, + [6481] = 6481, + [6482] = 6482, + [6483] = 6483, + [6484] = 6012, + [6485] = 6013, + [6486] = 6486, + [6487] = 6487, + [6488] = 6014, + [6489] = 6321, + [6490] = 6240, + [6491] = 6491, + [6492] = 6492, + [6493] = 6493, + [6494] = 6494, + [6495] = 6495, + [6496] = 6496, + [6497] = 6497, + [6498] = 6498, + [6499] = 6499, + [6500] = 6500, + [6501] = 6501, + [6502] = 6502, + [6503] = 6503, + [6504] = 6504, + [6505] = 6505, + [6506] = 6506, + [6507] = 6507, + [6508] = 6508, + [6509] = 6509, + [6510] = 6510, + [6511] = 6511, + [6512] = 6022, + [6513] = 6513, + [6514] = 6514, + [6515] = 6024, + [6516] = 6025, + [6517] = 6517, + [6518] = 6518, + [6519] = 6028, + [6520] = 6520, + [6521] = 6329, + [6522] = 5989, + [6523] = 6032, + [6524] = 6311, + [6525] = 6301, + [6526] = 6526, + [6527] = 6527, + [6528] = 6528, + [6529] = 6529, + [6530] = 6530, + [6531] = 6298, + [6532] = 6532, + [6533] = 6116, + [6534] = 6115, + [6535] = 6114, + [6536] = 6536, + [6537] = 6033, + [6538] = 6046, + [6539] = 6539, + [6540] = 6297, + [6541] = 6296, + [6542] = 6542, + [6543] = 6293, + [6544] = 6544, + [6545] = 6291, + [6546] = 6035, + [6547] = 6547, + [6548] = 6038, + [6549] = 6549, + [6550] = 6550, + [6551] = 6551, + [6552] = 6552, + [6553] = 6553, + [6554] = 6554, + [6555] = 6555, + [6556] = 6039, + [6557] = 6557, + [6558] = 6558, + [6559] = 6559, + [6560] = 6560, + [6561] = 6041, + [6562] = 6562, + [6563] = 6563, + [6564] = 6042, + [6565] = 6565, + [6566] = 6566, + [6567] = 6290, + [6568] = 5932, + [6569] = 6569, + [6570] = 6045, + [6571] = 6571, + [6572] = 6572, + [6573] = 6573, + [6574] = 6108, + [6575] = 6575, + [6576] = 6576, + [6577] = 6577, + [6578] = 6578, + [6579] = 6289, + [6580] = 6288, + [6581] = 6273, + [6582] = 6582, + [6583] = 6583, + [6584] = 6584, + [6585] = 6585, + [6586] = 6586, + [6587] = 6268, + [6588] = 6048, + [6589] = 6050, + [6590] = 6267, + [6591] = 6262, + [6592] = 6260, + [6593] = 6247, + [6594] = 6051, + [6595] = 6052, + [6596] = 6053, + [6597] = 6054, + [6598] = 6242, + [6599] = 6599, + [6600] = 6600, + [6601] = 6601, + [6602] = 6602, + [6603] = 6058, + [6604] = 6241, + [6605] = 6605, + [6606] = 6606, + [6607] = 6607, + [6608] = 6608, + [6609] = 6609, + [6610] = 6610, + [6611] = 6611, + [6612] = 6612, + [6613] = 6613, + [6614] = 6614, + [6615] = 6615, + [6616] = 6070, + [6617] = 6617, + [6618] = 6071, + [6619] = 6072, + [6620] = 6074, + [6621] = 6612, + [6622] = 6622, + [6623] = 6623, + [6624] = 6624, + [6625] = 6076, + [6626] = 6626, + [6627] = 5933, + [6628] = 6628, + [6629] = 6077, + [6630] = 6112, + [6631] = 6312, + [6632] = 6078, + [6633] = 6586, + [6634] = 6584, + [6635] = 6582, + [6636] = 6080, + [6637] = 6384, + [6638] = 6555, + [6639] = 6552, + [6640] = 6549, + [6641] = 6547, + [6642] = 6642, + [6643] = 6626, + [6644] = 6644, + [6645] = 6081, + [6646] = 6529, + [6647] = 6647, + [6648] = 6062, + [6649] = 6086, + [6650] = 6650, + [6651] = 6651, + [6652] = 6094, + [6653] = 6653, + [6654] = 6654, + [6655] = 6655, + [6656] = 6656, + [6657] = 6511, + [6658] = 6658, + [6659] = 6507, + [6660] = 6505, + [6661] = 6502, + [6662] = 6495, + [6663] = 6482, + [6664] = 6238, + [6665] = 6665, + [6666] = 6468, + [6667] = 6667, + [6668] = 6101, + [6669] = 6462, + [6670] = 6670, + [6671] = 6671, + [6672] = 6672, + [6673] = 6673, + [6674] = 6674, + [6675] = 6440, + [6676] = 6438, + [6677] = 6677, + [6678] = 6678, + [6679] = 6679, + [6680] = 6431, + [6681] = 6429, + [6682] = 6428, + [6683] = 6683, + [6684] = 6370, + [6685] = 6327, + [6686] = 6325, + [6687] = 6687, + [6688] = 6688, + [6689] = 6689, + [6690] = 6313, + [6691] = 6102, + [6692] = 6692, + [6693] = 6693, + [6694] = 6104, + [6695] = 6107, + [6696] = 6623, + [6697] = 6697, + [6698] = 6698, + [6699] = 6622, + [6700] = 6700, + [6701] = 6701, + [6702] = 6219, + [6703] = 6275, + [6704] = 6259, + [6705] = 6254, + [6706] = 6248, + [6707] = 6235, + [6708] = 6109, + [6709] = 6709, + [6710] = 6710, + [6711] = 6711, + [6712] = 6712, + [6713] = 6117, + [6714] = 6714, + [6715] = 6715, + [6716] = 6210, + [6717] = 6136, + [6718] = 6718, + [6719] = 6207, + [6720] = 6206, + [6721] = 6202, + [6722] = 6085, + [6723] = 6093, + [6724] = 6724, + [6725] = 6725, + [6726] = 6726, + [6727] = 6137, + [6728] = 6728, + [6729] = 6138, + [6730] = 6069, + [6731] = 6066, + [6732] = 6063, + [6733] = 6031, + [6734] = 6060, + [6735] = 6139, + [6736] = 6736, + [6737] = 6140, + [6738] = 6141, + [6739] = 6142, + [6740] = 6615, + [6741] = 6613, + [6742] = 6742, + [6743] = 6144, + [6744] = 6744, + [6745] = 6023, + [6746] = 6021, + [6747] = 6020, + [6748] = 6017, + [6749] = 5969, + [6750] = 6145, + [6751] = 6751, + [6752] = 6146, + [6753] = 5944, + [6754] = 5955, + [6755] = 5953, + [6756] = 5950, + [6757] = 5949, + [6758] = 5948, + [6759] = 6148, + [6760] = 6610, + [6761] = 6761, + [6762] = 6609, + [6763] = 6763, + [6764] = 6111, + [6765] = 6123, + [6766] = 6154, + [6767] = 6156, + [6768] = 6162, + [6769] = 6406, + [6770] = 6149, + [6771] = 6501, + [6772] = 6514, + [6773] = 6518, + [6774] = 6527, + [6775] = 6775, + [6776] = 6150, + [6777] = 6614, + [6778] = 6617, + [6779] = 6628, + [6780] = 6665, + [6781] = 6667, + [6782] = 6670, + [6783] = 6783, + [6784] = 6784, + [6785] = 6785, + [6786] = 6786, + [6787] = 6787, + [6788] = 6788, + [6789] = 6789, + [6790] = 6790, + [6791] = 6151, + [6792] = 6792, + [6793] = 6793, + [6794] = 6794, + [6795] = 6152, + [6796] = 6796, + [6797] = 6797, + [6798] = 6798, + [6799] = 6799, + [6800] = 6800, + [6801] = 6607, + [6802] = 6802, + [6803] = 6803, + [6804] = 6606, + [6805] = 6605, + [6806] = 6160, + [6807] = 6807, + [6808] = 6808, + [6809] = 6809, + [6810] = 6810, + [6811] = 6811, + [6812] = 6186, + [6813] = 6188, + [6814] = 6814, + [6815] = 6815, + [6816] = 6816, + [6817] = 6224, + [6818] = 6209, + [6819] = 6783, + [6820] = 6820, + [6821] = 6821, + [6822] = 6211, + [6823] = 6213, + [6824] = 6824, + [6825] = 6569, + [6826] = 6826, + [6827] = 6827, + [6828] = 6214, + [6829] = 6829, + [6830] = 6187, + [6831] = 6217, + [6832] = 6215, + [6833] = 6833, + [6834] = 6212, + [6835] = 6835, + [6836] = 6836, + [6837] = 6837, + [6838] = 6838, + [6839] = 6380, + [6840] = 6840, + [6841] = 6841, + [6842] = 6785, + [6843] = 6218, + [6844] = 6203, + [6845] = 6198, + [6846] = 6846, + [6847] = 6221, + [6848] = 6222, + [6849] = 6849, + [6850] = 6560, + [6851] = 6851, + [6852] = 6559, + [6853] = 6558, + [6854] = 6854, + [6855] = 6557, + [6856] = 6856, + [6857] = 6196, + [6858] = 6858, + [6859] = 6859, + [6860] = 6860, + [6861] = 6861, + [6862] = 6862, + [6863] = 6863, + [6864] = 6864, + [6865] = 6189, + [6866] = 6119, + [6867] = 6867, + [6868] = 6868, + [6869] = 6185, + [6870] = 6223, + [6871] = 6184, + [6872] = 6872, + [6873] = 6380, + [6874] = 6874, + [6875] = 6171, + [6876] = 6876, + [6877] = 6226, + [6878] = 6553, + [6879] = 6550, + [6880] = 6786, + [6881] = 6787, + [6882] = 6229, + [6883] = 6230, + [6884] = 6788, + [6885] = 6885, + [6886] = 6158, + [6887] = 6157, + [6888] = 6155, + [6889] = 6236, + [6890] = 6789, + [6891] = 6891, + [6892] = 6892, + [6893] = 6266, + [6894] = 6894, + [6895] = 6272, + [6896] = 6896, + [6897] = 6380, + [6898] = 6274, + [6899] = 6899, + [6900] = 6900, + [6901] = 6277, + [6902] = 6281, + [6903] = 6903, + [6904] = 6904, + [6905] = 6307, + [6906] = 6906, + [6907] = 6907, + [6908] = 6908, + [6909] = 6326, + [6910] = 6910, + [6911] = 6331, + [6912] = 6332, + [6913] = 6913, + [6914] = 6914, + [6915] = 6915, + [6916] = 6916, + [6917] = 6334, + [6918] = 6335, + [6919] = 6919, + [6920] = 6517, + [6921] = 6337, + [6922] = 6922, + [6923] = 6923, + [6924] = 6924, + [6925] = 6925, + [6926] = 6147, + [6927] = 6380, + [6928] = 6928, + [6929] = 6929, + [6930] = 6930, + [6931] = 6931, + [6932] = 6932, + [6933] = 6933, + [6934] = 6934, + [6935] = 6935, + [6936] = 6936, + [6937] = 6790, + [6938] = 6938, + [6939] = 6133, + [6940] = 6940, + [6941] = 6941, + [6942] = 6124, + [6943] = 6120, + [6944] = 6944, + [6945] = 6945, + [6946] = 6946, + [6947] = 6947, + [6948] = 6948, + [6949] = 6949, + [6950] = 6950, + [6951] = 6951, + [6952] = 6952, + [6953] = 6953, + [6954] = 6344, + [6955] = 6346, + [6956] = 6956, + [6957] = 6360, + [6958] = 6434, + [6959] = 6959, + [6960] = 6361, + [6961] = 6961, + [6962] = 6113, + [6963] = 6963, + [6964] = 6362, + [6965] = 6364, + [6966] = 6966, + [6967] = 6510, + [6968] = 6968, + [6969] = 6969, + [6970] = 6970, + [6971] = 6971, + [6972] = 6972, + [6973] = 6973, + [6974] = 6974, + [6975] = 6975, + [6976] = 6976, + [6977] = 6977, + [6978] = 6978, + [6979] = 6979, + [6980] = 6980, + [6981] = 6981, + [6982] = 6509, + [6983] = 6983, + [6984] = 6984, + [6985] = 6110, + [6986] = 6365, + [6987] = 6987, + [6988] = 6792, + [6989] = 6989, + [6990] = 6990, + [6991] = 6991, + [6992] = 6992, + [6993] = 6993, + [6994] = 6084, + [6995] = 6504, + [6996] = 6996, + [6997] = 6083, + [6998] = 6998, + [6999] = 6999, + [7000] = 6366, + [7001] = 6103, + [7002] = 7002, + [7003] = 7003, + [7004] = 6991, + [7005] = 6367, + [7006] = 6981, + [7007] = 6976, + [7008] = 6959, + [7009] = 6368, + [7010] = 6082, + [7011] = 6100, + [7012] = 6369, + [7013] = 6915, + [7014] = 7003, + [7015] = 7002, + [7016] = 6910, + [7017] = 6840, + [7018] = 6906, + [7019] = 6904, + [7020] = 6371, + [7021] = 6862, + [7022] = 6858, + [7023] = 6854, + [7024] = 6851, + [7025] = 7025, + [7026] = 7026, + [7027] = 7027, + [7028] = 6827, + [7029] = 6099, + [7030] = 7030, + [7031] = 6377, + [7032] = 6378, + [7033] = 6800, + [7034] = 7034, + [7035] = 7035, + [7036] = 6761, + [7037] = 6999, + [7038] = 6392, + [7039] = 7039, + [7040] = 7040, + [7041] = 6499, + [7042] = 6498, + [7043] = 7043, + [7044] = 6658, + [7045] = 6656, + [7046] = 6655, + [7047] = 7047, + [7048] = 6996, + [7049] = 6544, + [7050] = 6542, + [7051] = 6530, + [7052] = 7052, + [7053] = 7053, + [7054] = 7054, + [7055] = 6500, + [7056] = 6494, + [7057] = 6497, + [7058] = 7058, + [7059] = 6481, + [7060] = 6444, + [7061] = 7061, + [7062] = 7062, + [7063] = 7063, + [7064] = 6496, + [7065] = 7065, + [7066] = 6308, + [7067] = 7067, + [7068] = 6253, + [7069] = 7069, + [7070] = 6246, + [7071] = 7071, + [7072] = 7072, + [7073] = 7073, + [7074] = 6408, + [7075] = 6205, + [7076] = 6195, + [7077] = 7077, + [7078] = 6348, + [7079] = 6432, + [7080] = 6090, + [7081] = 6065, + [7082] = 7082, + [7083] = 7083, + [7084] = 6061, + [7085] = 6059, + [7086] = 7086, + [7087] = 6019, + [7088] = 6486, + [7089] = 6016, + [7090] = 7090, + [7091] = 6097, + [7092] = 5968, + [7093] = 7093, + [7094] = 5954, + [7095] = 5952, + [7096] = 6435, + [7097] = 5947, + [7098] = 6135, + [7099] = 6980, + [7100] = 6979, + [7101] = 6159, + [7102] = 6163, + [7103] = 7103, + [7104] = 6412, + [7105] = 6520, + [7106] = 6095, + [7107] = 6624, + [7108] = 6797, + [7109] = 6978, + [7110] = 6672, + [7111] = 6863, + [7112] = 6885, + [7113] = 6793, + [7114] = 6442, + [7115] = 6092, + [7116] = 7116, + [7117] = 6445, + [7118] = 6922, + [7119] = 7119, + [7120] = 6978, + [7121] = 6979, + [7122] = 6980, + [7123] = 6991, + [7124] = 7124, + [7125] = 6977, + [7126] = 6922, + [7127] = 6978, + [7128] = 6979, + [7129] = 6980, + [7130] = 6991, + [7131] = 6922, + [7132] = 6978, + [7133] = 6979, + [7134] = 6980, + [7135] = 6991, + [7136] = 6978, + [7137] = 6979, + [7138] = 6980, + [7139] = 6991, + [7140] = 6089, + [7141] = 6088, + [7142] = 6448, + [7143] = 6450, + [7144] = 6079, + [7145] = 6451, + [7146] = 7146, + [7147] = 6467, + [7148] = 6446, + [7149] = 6975, + [7150] = 7150, + [7151] = 7151, + [7152] = 6466, + [7153] = 6973, + [7154] = 7154, + [7155] = 7155, + [7156] = 6463, + [7157] = 7157, + [7158] = 6972, + [7159] = 6075, + [7160] = 6057, + [7161] = 6471, + [7162] = 6971, + [7163] = 7163, + [7164] = 7164, + [7165] = 6970, + [7166] = 6479, + [7167] = 7167, + [7168] = 6969, + [7169] = 6044, + [7170] = 7170, + [7171] = 7171, + [7172] = 7172, + [7173] = 7173, + [7174] = 6968, + [7175] = 6483, + [7176] = 7176, + [7177] = 6493, + [7178] = 6503, + [7179] = 6131, + [7180] = 7180, + [7181] = 7124, + [7182] = 7119, + [7183] = 7183, + [7184] = 7093, + [7185] = 6036, + [7186] = 6037, + [7187] = 7058, + [7188] = 6532, + [7189] = 7040, + [7190] = 7173, + [7191] = 7191, + [7192] = 6116, + [7193] = 7193, + [7194] = 7194, + [7195] = 6115, + [7196] = 6956, + [7197] = 6846, + [7198] = 6841, + [7199] = 6829, + [7200] = 6410, + [7201] = 6799, + [7202] = 6953, + [7203] = 6409, + [7204] = 7204, + [7205] = 7205, + [7206] = 6114, + [7207] = 6688, + [7208] = 6049, + [7209] = 6551, + [7210] = 6513, + [7211] = 6491, + [7212] = 6562, + [7213] = 7213, + [7214] = 6478, + [7215] = 7170, + [7216] = 6437, + [7217] = 7217, + [7218] = 6874, + [7219] = 6306, + [7220] = 6249, + [7221] = 7221, + [7222] = 6245, + [7223] = 7167, + [7224] = 7224, + [7225] = 6194, + [7226] = 7226, + [7227] = 6091, + [7228] = 6064, + [7229] = 7229, + [7230] = 6018, + [7231] = 6563, + [7232] = 6015, + [7233] = 6030, + [7234] = 6153, + [7235] = 7213, + [7236] = 6565, + [7237] = 7229, + [7238] = 6566, + [7239] = 7176, + [7240] = 6571, + [7241] = 6947, + [7242] = 6572, + [7243] = 6399, + [7244] = 7205, + [7245] = 7204, + [7246] = 6945, + [7247] = 6944, + [7248] = 6583, + [7249] = 7249, + [7250] = 7194, + [7251] = 7251, + [7252] = 6047, + [7253] = 7183, + [7254] = 6585, + [7255] = 7116, + [7256] = 7052, + [7257] = 7257, + [7258] = 7258, + [7259] = 7077, + [7260] = 7260, + [7261] = 6112, + [7262] = 7072, + [7263] = 7257, + [7264] = 7071, + [7265] = 6644, + [7266] = 6647, + [7267] = 6671, + [7268] = 7063, + [7269] = 7193, + [7270] = 6936, + [7271] = 7062, + [7272] = 6935, + [7273] = 6934, + [7274] = 7047, + [7275] = 7035, + [7276] = 6933, + [7277] = 6932, + [7278] = 6826, + [7279] = 6687, + [7280] = 6441, + [7281] = 6931, + [7282] = 6930, + [7283] = 6673, + [7284] = 7030, + [7285] = 6677, + [7286] = 6678, + [7287] = 6929, + [7288] = 6928, + [7289] = 6679, + [7290] = 7290, + [7291] = 6683, + [7292] = 6689, + [7293] = 6049, + [7294] = 6380, + [7295] = 6692, + [7296] = 6047, + [7297] = 6693, + [7298] = 7027, + [7299] = 7299, + [7300] = 7300, + [7301] = 7026, + [7302] = 6082, + [7303] = 7303, + [7304] = 7304, + [7305] = 6698, + [7306] = 7154, + [7307] = 6083, + [7308] = 6701, + [7309] = 6700, + [7310] = 6922, + [7311] = 6718, + [7312] = 6725, + [7313] = 7313, + [7314] = 6084, + [7315] = 6989, + [7316] = 7260, + [7317] = 6037, + [7318] = 6036, + [7319] = 7191, + [7320] = 6726, + [7321] = 6984, + [7322] = 6963, + [7323] = 6961, + [7324] = 6908, + [7325] = 6952, + [7326] = 7146, + [7327] = 6951, + [7328] = 7025, + [7329] = 6802, + [7330] = 6809, + [7331] = 6872, + [7332] = 6867, + [7333] = 7258, + [7334] = 6860, + [7335] = 6814, + [7336] = 6861, + [7337] = 6868, + [7338] = 6820, + [7339] = 6808, + [7340] = 6864, + [7341] = 6849, +}; + +static bool ts_lex(TSLexer *lexer, TSStateId state) { + START_LEXER(); + eof = lexer->eof(lexer); + switch (state) { + case 0: + if (eof) ADVANCE(68); + if (lookahead == '!') ADVANCE(174); + if (lookahead == '"') ADVANCE(151); + if (lookahead == '&') ADVANCE(111); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(169); + if (lookahead == '+') ADVANCE(168); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(91); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == '0') ADVANCE(126); + if (lookahead == '1') ADVANCE(128); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(93); + if (lookahead == '=') ADVANCE(170); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(119); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '^') ADVANCE(50); + if (lookahead == '_') ADVANCE(175); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '{') ADVANCE(166); + if (lookahead == '|') ADVANCE(164); + if (lookahead == '}') ADVANCE(167); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(121); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(123); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(66) + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(122); + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(129); + if (('A' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 1: + if (lookahead == '!') ADVANCE(174); + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(111); + if (lookahead == '\'') ADVANCE(62); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(178); + if (lookahead == '0') ADVANCE(126); + if (lookahead == '1') ADVANCE(128); + if (lookahead == ':') ADVANCE(77); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(48); + if (lookahead == '=') ADVANCE(75); + if (lookahead == '?') ADVANCE(120); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '_') ADVANCE(133); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '{') ADVANCE(166); + if (lookahead == '|') ADVANCE(163); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(121); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(123); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(18) + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(122); + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(129); + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 2: + if (lookahead == '!') ADVANCE(174); + if (lookahead == '"') ADVANCE(150); + if (lookahead == '\'') ADVANCE(62); + if (lookahead == '(') ADVANCE(70); + if (lookahead == '+') ADVANCE(89); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '/') ADVANCE(43); + if (lookahead == '0') ADVANCE(126); + if (lookahead == '1') ADVANCE(128); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(47); + if (lookahead == '?') ADVANCE(60); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == '_') ADVANCE(175); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '{') ADVANCE(166); + if (lookahead == '}') ADVANCE(167); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(121); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(123); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(29) + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(122); + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(129); + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 3: + if (lookahead == '!') ADVANCE(172); + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(111); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(93); + if (lookahead == '=') ADVANCE(99); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(49); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(4) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 4: + if (lookahead == '!') ADVANCE(172); + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(111); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(93); + if (lookahead == '=') ADVANCE(99); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(49); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(4) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 5: + if (lookahead == '!') ADVANCE(172); + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(93); + if (lookahead == '=') ADVANCE(99); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(49); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(6) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 6: + if (lookahead == '!') ADVANCE(172); + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(93); + if (lookahead == '=') ADVANCE(99); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(49); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(6) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 7: + if (lookahead == '!') ADVANCE(172); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(94); + if (lookahead == '=') ADVANCE(99); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(49); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(10) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 8: + if (lookahead == '!') ADVANCE(172); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(94); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(116); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(11) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 9: + if (lookahead == '!') ADVANCE(172); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '.') ADVANCE(85); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(76); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(94); + if (lookahead == '=') ADVANCE(99); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(49); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(9) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 10: + if (lookahead == '!') ADVANCE(172); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(94); + if (lookahead == '=') ADVANCE(99); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(49); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(10) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 11: + if (lookahead == '!') ADVANCE(172); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(94); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(116); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(11) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 12: + if (lookahead == '"') ADVANCE(155); + END_STATE(); + case 13: + if (lookahead == '"') ADVANCE(153); + END_STATE(); + case 14: + if (lookahead == '"') ADVANCE(154); + if (lookahead != 0 && + lookahead != '\n' && + lookahead != '\r' && + lookahead != ' ') ADVANCE(14); + END_STATE(); + case 15: + if (lookahead == '"') ADVANCE(152); + if (lookahead != 0 && + lookahead != '\n' && + lookahead != '\r' && + lookahead != ' ') ADVANCE(15); + END_STATE(); + case 16: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(111); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(90); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(95); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(84); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(17) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 17: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(111); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(90); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(95); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(84); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(17) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 18: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(111); + if (lookahead == '\'') ADVANCE(62); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '/') ADVANCE(43); + if (lookahead == '0') ADVANCE(126); + if (lookahead == '1') ADVANCE(128); + if (lookahead == ':') ADVANCE(77); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(48); + if (lookahead == '=') ADVANCE(75); + if (lookahead == '?') ADVANCE(120); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '_') ADVANCE(133); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '{') ADVANCE(166); + if (lookahead == '|') ADVANCE(163); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(121); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(123); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(18) + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(122); + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(129); + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 19: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(90); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(54); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(95); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(20) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 20: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(90); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(54); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(95); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(20) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 21: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(93); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(23) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 22: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(93); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(24) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 23: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(93); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(23) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 24: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(93); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(24) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 25: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(46); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(178); + if (lookahead == ':') ADVANCE(77); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(53); + if (lookahead == '>') ADVANCE(56); + if (lookahead == '?') ADVANCE(118); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(26) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 26: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(46); + if (lookahead == '/') ADVANCE(43); + if (lookahead == ':') ADVANCE(77); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(53); + if (lookahead == '>') ADVANCE(56); + if (lookahead == '?') ADVANCE(118); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(26) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 27: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '\'') ADVANCE(62); + if (lookahead == '(') ADVANCE(70); + if (lookahead == '*') ADVANCE(169); + if (lookahead == '+') ADVANCE(168); + if (lookahead == '-') ADVANCE(91); + if (lookahead == '/') ADVANCE(43); + if (lookahead == '0') ADVANCE(126); + if (lookahead == '1') ADVANCE(128); + if (lookahead == '<') ADVANCE(52); + if (lookahead == '=') ADVANCE(170); + if (lookahead == '?') ADVANCE(60); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '_') ADVANCE(133); + if (lookahead == '`') ADVANCE(158); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(121); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(123); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(28) + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(122); + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(129); + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 28: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '\'') ADVANCE(62); + if (lookahead == '(') ADVANCE(70); + if (lookahead == '+') ADVANCE(89); + if (lookahead == '-') ADVANCE(90); + if (lookahead == '/') ADVANCE(43); + if (lookahead == '0') ADVANCE(126); + if (lookahead == '1') ADVANCE(128); + if (lookahead == '<') ADVANCE(52); + if (lookahead == '?') ADVANCE(60); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '_') ADVANCE(133); + if (lookahead == '`') ADVANCE(158); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(121); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(123); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(28) + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(122); + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(129); + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 29: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '\'') ADVANCE(62); + if (lookahead == '(') ADVANCE(70); + if (lookahead == '+') ADVANCE(89); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '/') ADVANCE(43); + if (lookahead == '0') ADVANCE(126); + if (lookahead == '1') ADVANCE(128); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(47); + if (lookahead == '?') ADVANCE(60); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == '_') ADVANCE(133); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '{') ADVANCE(166); + if (lookahead == '}') ADVANCE(167); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(121); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(123); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(29) + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(122); + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(129); + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 30: + if (lookahead == '"') ADVANCE(150); + if (lookahead == '\'') ADVANCE(62); + if (lookahead == '(') ADVANCE(70); + if (lookahead == '-') ADVANCE(46); + if (lookahead == '/') ADVANCE(43); + if (lookahead == '<') ADVANCE(52); + if (lookahead == '?') ADVANCE(118); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(30) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 31: + if (lookahead == '"') ADVANCE(149); + if (lookahead != 0 && + lookahead != '\n' && + lookahead != '\r') ADVANCE(31); + END_STATE(); + case 32: + if (lookahead == '#') ADVANCE(141); + if (lookahead == '.') ADVANCE(33); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'F') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'f')) ADVANCE(32); + END_STATE(); + case 33: + if (lookahead == '#') ADVANCE(144); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'F') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'f')) ADVANCE(33); + END_STATE(); + case 34: + if (lookahead == '&') ADVANCE(111); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(90); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(96); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(35) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 35: + if (lookahead == '&') ADVANCE(111); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(90); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(96); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(35) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 36: + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(90); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(77); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(96); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(37) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 37: + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(90); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(77); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(96); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(37) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 38: + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(94); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(40) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 39: + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '.') ADVANCE(80); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(94); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(41) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 40: + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(94); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(40) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 41: + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '/') ADVANCE(113); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(94); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(97); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(41) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 42: + if (lookahead == '\'') ADVANCE(146); + END_STATE(); + case 43: + if (lookahead == '*') ADVANCE(45); + END_STATE(); + case 44: + if (lookahead == '*') ADVANCE(44); + if (lookahead == '/') ADVANCE(156); + if (lookahead != 0) ADVANCE(45); + END_STATE(); + case 45: + if (lookahead == '*') ADVANCE(44); + if (lookahead != 0) ADVANCE(45); + END_STATE(); + case 46: + if (lookahead == '-') ADVANCE(157); + END_STATE(); + case 47: + if (lookahead == '-') ADVANCE(57); + if (lookahead == '<') ADVANCE(82); + END_STATE(); + case 48: + if (lookahead == '-') ADVANCE(57); + if (lookahead == '<') ADVANCE(82); + if (lookahead == '=') ADVANCE(117); + if (lookahead == '>') ADVANCE(78); + END_STATE(); + case 49: + if (lookahead == '-') ADVANCE(59); + if (lookahead == '=') ADVANCE(58); + END_STATE(); + case 50: + if (lookahead == '.') ADVANCE(86); + END_STATE(); + case 51: + if (lookahead == '/') ADVANCE(55); + if (lookahead == '<') ADVANCE(104); + if (lookahead == '=') ADVANCE(106); + if (lookahead == '>') ADVANCE(105); + END_STATE(); + case 52: + if (lookahead == '<') ADVANCE(82); + END_STATE(); + case 53: + if (lookahead == '<') ADVANCE(82); + if (lookahead == '=') ADVANCE(117); + if (lookahead == '>') ADVANCE(78); + END_STATE(); + case 54: + if (lookahead == '=') ADVANCE(87); + END_STATE(); + case 55: + if (lookahead == '=') ADVANCE(109); + END_STATE(); + case 56: + if (lookahead == '>') ADVANCE(83); + END_STATE(); + case 57: + if (lookahead == '>') ADVANCE(160); + END_STATE(); + case 58: + if (lookahead == '>') ADVANCE(176); + END_STATE(); + case 59: + if (lookahead == '>') ADVANCE(177); + END_STATE(); + case 60: + if (lookahead == '?') ADVANCE(88); + END_STATE(); + case 61: + if (lookahead == '\\') ADVANCE(125); + if (lookahead != 0 && + lookahead != '\n' && + lookahead != '\r') ADVANCE(61); + END_STATE(); + case 62: + if (lookahead == '\r' || + lookahead == ')' || + lookahead == ',' || + lookahead == ';') ADVANCE(42); + if (lookahead != 0 && + lookahead != '\n') ADVANCE(147); + END_STATE(); + case 63: + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(13); + END_STATE(); + case 64: + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 65: + if (eof) ADVANCE(68); + if (lookahead == '!') ADVANCE(174); + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(62); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(90); + if (lookahead == '.') ADVANCE(85); + if (lookahead == '/') ADVANCE(113); + if (lookahead == '0') ADVANCE(127); + if (lookahead == '1') ADVANCE(130); + if (lookahead == ':') ADVANCE(77); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(95); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(84); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '^') ADVANCE(50); + if (lookahead == '_') ADVANCE(133); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '{') ADVANCE(166); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(67) + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(131); + if (('A' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 66: + if (eof) ADVANCE(68); + if (lookahead == '!') ADVANCE(172); + if (lookahead == '"') ADVANCE(151); + if (lookahead == '&') ADVANCE(111); + if (lookahead == '\'') ADVANCE(81); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(92); + if (lookahead == '.') ADVANCE(85); + if (lookahead == '/') ADVANCE(113); + if (lookahead == '0') ADVANCE(126); + if (lookahead == '1') ADVANCE(128); + if (lookahead == ':') ADVANCE(161); + if (lookahead == ';') ADVANCE(162); + if (lookahead == '<') ADVANCE(93); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(119); + if (lookahead == '@') ADVANCE(173); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '^') ADVANCE(50); + if (lookahead == '_') ADVANCE(133); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '{') ADVANCE(166); + if (lookahead == '|') ADVANCE(164); + if (lookahead == '}') ADVANCE(167); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(121); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(123); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(66) + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(122); + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(129); + if (('A' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 67: + if (eof) ADVANCE(68); + if (lookahead == '"') ADVANCE(150); + if (lookahead == '&') ADVANCE(110); + if (lookahead == '\'') ADVANCE(62); + if (lookahead == '(') ADVANCE(70); + if (lookahead == ')') ADVANCE(71); + if (lookahead == '*') ADVANCE(112); + if (lookahead == '+') ADVANCE(89); + if (lookahead == ',') ADVANCE(72); + if (lookahead == '-') ADVANCE(90); + if (lookahead == '.') ADVANCE(85); + if (lookahead == '/') ADVANCE(113); + if (lookahead == '0') ADVANCE(127); + if (lookahead == '1') ADVANCE(130); + if (lookahead == ':') ADVANCE(77); + if (lookahead == ';') ADVANCE(69); + if (lookahead == '<') ADVANCE(95); + if (lookahead == '=') ADVANCE(100); + if (lookahead == '>') ADVANCE(98); + if (lookahead == '?') ADVANCE(51); + if (lookahead == '@') ADVANCE(84); + if (lookahead == '[') ADVANCE(73); + if (lookahead == '\\') ADVANCE(61); + if (lookahead == ']') ADVANCE(74); + if (lookahead == '^') ADVANCE(50); + if (lookahead == '_') ADVANCE(133); + if (lookahead == '`') ADVANCE(158); + if (lookahead == '{') ADVANCE(166); + if (lookahead == '|') ADVANCE(115); + if (lookahead == '}') ADVANCE(167); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(67) + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(131); + if (('A' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 68: + ACCEPT_TOKEN(ts_builtin_sym_end); + END_STATE(); + case 69: + ACCEPT_TOKEN(anon_sym_SEMI); + END_STATE(); + case 70: + ACCEPT_TOKEN(anon_sym_LPAREN); + END_STATE(); + case 71: + ACCEPT_TOKEN(anon_sym_RPAREN); + END_STATE(); + case 72: + ACCEPT_TOKEN(anon_sym_COMMA); + END_STATE(); + case 73: + ACCEPT_TOKEN(anon_sym_LBRACK); + END_STATE(); + case 74: + ACCEPT_TOKEN(anon_sym_RBRACK); + END_STATE(); + case 75: + ACCEPT_TOKEN(anon_sym_EQ); + END_STATE(); + case 76: + ACCEPT_TOKEN(anon_sym_COLON); + END_STATE(); + case 77: + ACCEPT_TOKEN(anon_sym_COLON); + if (lookahead == '=') ADVANCE(87); + END_STATE(); + case 78: + ACCEPT_TOKEN(anon_sym_LT_GT); + END_STATE(); + case 79: + ACCEPT_TOKEN(anon_sym_EQ_GT); + END_STATE(); + case 80: + ACCEPT_TOKEN(anon_sym_DOT); + END_STATE(); + case 81: + ACCEPT_TOKEN(anon_sym_SQUOTE); + END_STATE(); + case 82: + ACCEPT_TOKEN(anon_sym_LT_LT); + END_STATE(); + case 83: + ACCEPT_TOKEN(anon_sym_GT_GT); + END_STATE(); + case 84: + ACCEPT_TOKEN(anon_sym_AT); + END_STATE(); + case 85: + ACCEPT_TOKEN(anon_sym_DOT2); + END_STATE(); + case 86: + ACCEPT_TOKEN(anon_sym_CARET_DOT); + END_STATE(); + case 87: + ACCEPT_TOKEN(anon_sym_COLON_EQ); + END_STATE(); + case 88: + ACCEPT_TOKEN(anon_sym_QMARK_QMARK); + END_STATE(); + case 89: + ACCEPT_TOKEN(anon_sym_PLUS); + END_STATE(); + case 90: + ACCEPT_TOKEN(anon_sym_DASH); + if (lookahead == '-') ADVANCE(157); + END_STATE(); + case 91: + ACCEPT_TOKEN(anon_sym_DASH); + if (lookahead == '-') ADVANCE(157); + if (lookahead == '>') ADVANCE(171); + END_STATE(); + case 92: + ACCEPT_TOKEN(anon_sym_DASH); + if (lookahead == '-') ADVANCE(157); + if (lookahead == '>') ADVANCE(159); + END_STATE(); + case 93: + ACCEPT_TOKEN(anon_sym_LT); + if (lookahead == '-') ADVANCE(57); + if (lookahead == '<') ADVANCE(82); + if (lookahead == '=') ADVANCE(101); + END_STATE(); + case 94: + ACCEPT_TOKEN(anon_sym_LT); + if (lookahead == '-') ADVANCE(57); + if (lookahead == '=') ADVANCE(101); + END_STATE(); + case 95: + ACCEPT_TOKEN(anon_sym_LT); + if (lookahead == '<') ADVANCE(82); + if (lookahead == '=') ADVANCE(101); + END_STATE(); + case 96: + ACCEPT_TOKEN(anon_sym_LT); + if (lookahead == '=') ADVANCE(101); + END_STATE(); + case 97: + ACCEPT_TOKEN(anon_sym_GT); + if (lookahead == '=') ADVANCE(102); + END_STATE(); + case 98: + ACCEPT_TOKEN(anon_sym_GT); + if (lookahead == '=') ADVANCE(102); + if (lookahead == '>') ADVANCE(83); + END_STATE(); + case 99: + ACCEPT_TOKEN(anon_sym_EQ2); + END_STATE(); + case 100: + ACCEPT_TOKEN(anon_sym_EQ2); + if (lookahead == '>') ADVANCE(79); + END_STATE(); + case 101: + ACCEPT_TOKEN(anon_sym_LT_EQ); + END_STATE(); + case 102: + ACCEPT_TOKEN(anon_sym_GT_EQ); + END_STATE(); + case 103: + ACCEPT_TOKEN(anon_sym_SLASH_EQ); + END_STATE(); + case 104: + ACCEPT_TOKEN(anon_sym_QMARK_LT); + if (lookahead == '=') ADVANCE(107); + END_STATE(); + case 105: + ACCEPT_TOKEN(anon_sym_QMARK_GT); + if (lookahead == '=') ADVANCE(108); + END_STATE(); + case 106: + ACCEPT_TOKEN(anon_sym_QMARK_EQ); + END_STATE(); + case 107: + ACCEPT_TOKEN(anon_sym_QMARK_LT_EQ); + END_STATE(); + case 108: + ACCEPT_TOKEN(anon_sym_QMARK_GT_EQ); + END_STATE(); + case 109: + ACCEPT_TOKEN(anon_sym_QMARK_SLASH_EQ); + END_STATE(); + case 110: + ACCEPT_TOKEN(anon_sym_AMP); + END_STATE(); + case 111: + ACCEPT_TOKEN(anon_sym_AMP); + if (lookahead == '&') ADVANCE(165); + END_STATE(); + case 112: + ACCEPT_TOKEN(anon_sym_STAR); + if (lookahead == '*') ADVANCE(114); + END_STATE(); + case 113: + ACCEPT_TOKEN(anon_sym_SLASH); + if (lookahead == '*') ADVANCE(45); + if (lookahead == '=') ADVANCE(103); + END_STATE(); + case 114: + ACCEPT_TOKEN(anon_sym_STAR_STAR); + END_STATE(); + case 115: + ACCEPT_TOKEN(anon_sym_PIPE); + END_STATE(); + case 116: + ACCEPT_TOKEN(anon_sym_PIPE); + if (lookahead == '-') ADVANCE(59); + if (lookahead == '=') ADVANCE(58); + END_STATE(); + case 117: + ACCEPT_TOKEN(anon_sym_LT_EQ2); + END_STATE(); + case 118: + ACCEPT_TOKEN(anon_sym_QMARK); + END_STATE(); + case 119: + ACCEPT_TOKEN(anon_sym_QMARK); + if (lookahead == '=') ADVANCE(106); + if (lookahead == '>') ADVANCE(105); + if (lookahead == '?') ADVANCE(88); + END_STATE(); + case 120: + ACCEPT_TOKEN(anon_sym_QMARK); + if (lookahead == '?') ADVANCE(88); + END_STATE(); + case 121: + ACCEPT_TOKEN(sym_basic_identifier); + if (lookahead == '"') ADVANCE(155); + if (lookahead == '_') ADVANCE(64); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 122: + ACCEPT_TOKEN(sym_basic_identifier); + if (lookahead == '"') ADVANCE(153); + if (lookahead == '_') ADVANCE(64); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 123: + ACCEPT_TOKEN(sym_basic_identifier); + if (lookahead == '_') ADVANCE(64); + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(122); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 124: + ACCEPT_TOKEN(sym_basic_identifier); + if (lookahead == '_') ADVANCE(64); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(124); + END_STATE(); + case 125: + ACCEPT_TOKEN(sym_extended_identifier); + if (lookahead == '\\') ADVANCE(61); + END_STATE(); + case 126: + ACCEPT_TOKEN(sym_integer_decimal); + if (lookahead == '#') ADVANCE(32); + if (lookahead == '.') ADVANCE(138); + if (lookahead == '0') ADVANCE(126); + if (lookahead == '1') ADVANCE(128); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(134); + if (lookahead == '_') ADVANCE(133); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(12); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(63); + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(13); + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(129); + END_STATE(); + case 127: + ACCEPT_TOKEN(sym_integer_decimal); + if (lookahead == '#') ADVANCE(32); + if (lookahead == '.') ADVANCE(138); + if (lookahead == '0') ADVANCE(127); + if (lookahead == '1') ADVANCE(130); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(134); + if (lookahead == '_') ADVANCE(133); + if (('2' <= lookahead && lookahead <= '9')) ADVANCE(131); + END_STATE(); + case 128: + ACCEPT_TOKEN(sym_integer_decimal); + if (lookahead == '#') ADVANCE(32); + if (lookahead == '.') ADVANCE(138); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(134); + if (lookahead == '_') ADVANCE(133); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(12); + if (('7' <= lookahead && lookahead <= '9')) ADVANCE(132); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(63); + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(13); + if (('0' <= lookahead && lookahead <= '6')) ADVANCE(129); + END_STATE(); + case 129: + ACCEPT_TOKEN(sym_integer_decimal); + if (lookahead == '#') ADVANCE(32); + if (lookahead == '.') ADVANCE(138); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(134); + if (lookahead == '_') ADVANCE(133); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(12); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(63); + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(13); + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(132); + END_STATE(); + case 130: + ACCEPT_TOKEN(sym_integer_decimal); + if (lookahead == '#') ADVANCE(32); + if (lookahead == '.') ADVANCE(138); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(134); + if (('7' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(133); + if (('0' <= lookahead && lookahead <= '6')) ADVANCE(131); + END_STATE(); + case 131: + ACCEPT_TOKEN(sym_integer_decimal); + if (lookahead == '#') ADVANCE(32); + if (lookahead == '.') ADVANCE(138); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(134); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(133); + END_STATE(); + case 132: + ACCEPT_TOKEN(sym_integer_decimal); + if (lookahead == '.') ADVANCE(138); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(134); + if (lookahead == '_') ADVANCE(133); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(12); + if (lookahead == 'S' || + lookahead == 'U' || + lookahead == 's' || + lookahead == 'u') ADVANCE(63); + if (lookahead == 'B' || + lookahead == 'O' || + lookahead == 'X' || + lookahead == 'b' || + lookahead == 'o' || + lookahead == 'x') ADVANCE(13); + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(132); + END_STATE(); + case 133: + ACCEPT_TOKEN(sym_integer_decimal); + if (lookahead == '.') ADVANCE(138); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(134); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(133); + END_STATE(); + case 134: + ACCEPT_TOKEN(sym_integer_decimal); + if (lookahead == '+' || + lookahead == '-') ADVANCE(135); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(135); + END_STATE(); + case 135: + ACCEPT_TOKEN(sym_integer_decimal); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(135); + END_STATE(); + case 136: + ACCEPT_TOKEN(sym_real_decimal); + if (lookahead == '+' || + lookahead == '-') ADVANCE(139); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(139); + END_STATE(); + case 137: + ACCEPT_TOKEN(sym_real_decimal); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(136); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(137); + END_STATE(); + case 138: + ACCEPT_TOKEN(sym_real_decimal); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(137); + END_STATE(); + case 139: + ACCEPT_TOKEN(sym_real_decimal); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(139); + END_STATE(); + case 140: + ACCEPT_TOKEN(sym_based_integer); + if (lookahead == '+' || + lookahead == '-') ADVANCE(142); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(142); + END_STATE(); + case 141: + ACCEPT_TOKEN(sym_based_integer); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(140); + END_STATE(); + case 142: + ACCEPT_TOKEN(sym_based_integer); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(142); + END_STATE(); + case 143: + ACCEPT_TOKEN(sym_based_real); + if (lookahead == '+' || + lookahead == '-') ADVANCE(145); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(145); + END_STATE(); + case 144: + ACCEPT_TOKEN(sym_based_real); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(143); + END_STATE(); + case 145: + ACCEPT_TOKEN(sym_based_real); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(145); + END_STATE(); + case 146: + ACCEPT_TOKEN(aux_sym_character_literal_token1); + END_STATE(); + case 147: + ACCEPT_TOKEN(aux_sym_character_literal_token2); + if (lookahead == '\'') ADVANCE(146); + END_STATE(); + case 148: + ACCEPT_TOKEN(aux_sym_string_literal_token1); + if (lookahead == '"') ADVANCE(150); + END_STATE(); + case 149: + ACCEPT_TOKEN(aux_sym_string_literal_token1); + if (lookahead == '"') ADVANCE(31); + END_STATE(); + case 150: + ACCEPT_TOKEN(aux_sym_string_literal_token2); + if (lookahead == '"') ADVANCE(148); + if (lookahead == ')' || + lookahead == ',' || + lookahead == ';') ADVANCE(31); + if (lookahead != 0 && + lookahead != '\n' && + lookahead != '\r') ADVANCE(150); + END_STATE(); + case 151: + ACCEPT_TOKEN(anon_sym_DQUOTE); + END_STATE(); + case 152: + ACCEPT_TOKEN(aux_sym_bit_string_literal_token1); + END_STATE(); + case 153: + ACCEPT_TOKEN(aux_sym_bit_string_literal_token2); + if (lookahead == '"') ADVANCE(152); + if (lookahead == ')' || + lookahead == ',' || + lookahead == ';') ADVANCE(15); + if (lookahead != 0 && + lookahead != '\n' && + lookahead != '\r' && + lookahead != ' ') ADVANCE(153); + END_STATE(); + case 154: + ACCEPT_TOKEN(aux_sym_bit_string_literal_token3); + END_STATE(); + case 155: + ACCEPT_TOKEN(aux_sym_bit_string_literal_token4); + if (lookahead == '"') ADVANCE(154); + if (lookahead == ')' || + lookahead == ',' || + lookahead == ';') ADVANCE(14); + if (lookahead != 0 && + lookahead != '\n' && + lookahead != '\r' && + lookahead != ' ') ADVANCE(155); + END_STATE(); + case 156: + ACCEPT_TOKEN(sym_comment); + END_STATE(); + case 157: + ACCEPT_TOKEN(sym_comment); + if (lookahead != 0 && + lookahead != '\n') ADVANCE(157); + END_STATE(); + case 158: + ACCEPT_TOKEN(sym_tool_directive); + if (lookahead != 0 && + lookahead != '\n') ADVANCE(158); + END_STATE(); + case 159: + ACCEPT_TOKEN(anon_sym_DASH_GT); + END_STATE(); + case 160: + ACCEPT_TOKEN(anon_sym_LT_DASH_GT); + END_STATE(); + case 161: + ACCEPT_TOKEN(anon_sym_COLON2); + END_STATE(); + case 162: + ACCEPT_TOKEN(anon_sym_SEMI2); + END_STATE(); + case 163: + ACCEPT_TOKEN(anon_sym_PIPE2); + END_STATE(); + case 164: + ACCEPT_TOKEN(anon_sym_PIPE2); + if (lookahead == '=') ADVANCE(58); + END_STATE(); + case 165: + ACCEPT_TOKEN(anon_sym_AMP_AMP); + END_STATE(); + case 166: + ACCEPT_TOKEN(anon_sym_LBRACE); + END_STATE(); + case 167: + ACCEPT_TOKEN(anon_sym_RBRACE); + END_STATE(); + case 168: + ACCEPT_TOKEN(anon_sym_PLUS2); + END_STATE(); + case 169: + ACCEPT_TOKEN(anon_sym_STAR2); + END_STATE(); + case 170: + ACCEPT_TOKEN(anon_sym_EQ3); + END_STATE(); + case 171: + ACCEPT_TOKEN(anon_sym_DASH_GT2); + END_STATE(); + case 172: + ACCEPT_TOKEN(anon_sym_BANG); + END_STATE(); + case 173: + ACCEPT_TOKEN(anon_sym_AT2); + END_STATE(); + case 174: + ACCEPT_TOKEN(anon_sym_BANG2); + END_STATE(); + case 175: + ACCEPT_TOKEN(anon_sym__); + if (lookahead == '.') ADVANCE(138); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(134); + if (('0' <= lookahead && lookahead <= '9') || + lookahead == '_') ADVANCE(133); + END_STATE(); + case 176: + ACCEPT_TOKEN(anon_sym_PIPE_EQ_GT); + END_STATE(); + case 177: + ACCEPT_TOKEN(anon_sym_PIPE_DASH_GT); + END_STATE(); + case 178: + ACCEPT_TOKEN(anon_sym_SLASH2); + if (lookahead == '*') ADVANCE(45); + END_STATE(); + default: + return false; + } +} + +static bool ts_lex_keywords(TSLexer *lexer, TSStateId state) { + START_LEXER(); + eof = lexer->eof(lexer); + switch (state) { + case 0: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(2); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(3); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(4); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(5); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(6); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(7); + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(8); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(9); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(10); + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(11); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(12); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(13); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(14); + if (lookahead == 'Q' || + lookahead == 'q') ADVANCE(15); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(16); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(17); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(18); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(19); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(20); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(21); + if (lookahead == 'X' || + lookahead == 'x') ADVANCE(22); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\r' || + lookahead == ' ') SKIP(0) + END_STATE(); + case 1: + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(23); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(24); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(25); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(26); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(27); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(28); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(29); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(30); + END_STATE(); + case 2: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(31); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(32); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(33); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(34); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(35); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(36); + END_STATE(); + case 3: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(37); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(38); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(39); + END_STATE(); + case 4: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(40); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(41); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(42); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(43); + END_STATE(); + case 5: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(44); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(45); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(46); + if (lookahead == 'X' || + lookahead == 'x') ADVANCE(47); + END_STATE(); + case 6: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(48); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(49); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(50); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(51); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(52); + END_STATE(); + case 7: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(53); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(54); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(55); + END_STATE(); + case 8: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(56); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(57); + END_STATE(); + case 9: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(58); + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(59); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(60); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(61); + END_STATE(); + case 10: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(62); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(63); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(64); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(65); + END_STATE(); + case 11: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(66); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(67); + END_STATE(); + case 12: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(68); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(69); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(70); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(71); + END_STATE(); + case 13: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(72); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(73); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(74); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(75); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(76); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(77); + END_STATE(); + case 14: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(78); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(79); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(80); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(81); + END_STATE(); + case 15: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(82); + END_STATE(); + case 16: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(83); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(84); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(85); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(86); + END_STATE(); + case 17: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(87); + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(88); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(89); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(90); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(91); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(92); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(93); + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(94); + END_STATE(); + case 18: + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(95); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(96); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(97); + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(98); + END_STATE(); + case 19: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(99); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(100); + END_STATE(); + case 20: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(101); + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(102); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(103); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(104); + END_STATE(); + case 21: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(105); + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(106); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(107); + END_STATE(); + case 22: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(108); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(109); + END_STATE(); + case 23: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(110); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(111); + END_STATE(); + case 24: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(112); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(113); + END_STATE(); + case 25: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(114); + END_STATE(); + case 26: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(115); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(116); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(117); + END_STATE(); + case 27: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(118); + END_STATE(); + case 28: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(119); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(120); + END_STATE(); + case 29: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(121); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(122); + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(123); + END_STATE(); + case 30: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(124); + END_STATE(); + case 31: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(125); + END_STATE(); + case 32: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(126); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(127); + END_STATE(); + case 33: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(128); + END_STATE(); + case 34: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(129); + END_STATE(); + case 35: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(130); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(131); + END_STATE(); + case 36: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(132); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(133); + END_STATE(); + case 37: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(134); + END_STATE(); + case 38: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(135); + END_STATE(); + case 39: + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(136); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(137); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(138); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(139); + END_STATE(); + case 40: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(140); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(141); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(142); + END_STATE(); + case 41: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(143); + END_STATE(); + case 42: + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(144); + END_STATE(); + case 43: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(145); + END_STATE(); + case 44: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(146); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(147); + END_STATE(); + case 45: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(148); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(149); + END_STATE(); + case 46: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(150); + END_STATE(); + case 47: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(151); + END_STATE(); + case 48: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(152); + END_STATE(); + case 49: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(153); + END_STATE(); + case 50: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(154); + END_STATE(); + case 51: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(155); + END_STATE(); + case 52: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(156); + END_STATE(); + case 53: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(157); + END_STATE(); + case 54: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(158); + END_STATE(); + case 55: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(159); + END_STATE(); + case 56: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(160); + END_STATE(); + case 57: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(161); + END_STATE(); + case 58: + ACCEPT_TOKEN(aux_sym_if_statement_token1); + END_STATE(); + case 59: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(162); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(163); + END_STATE(); + case 60: + ACCEPT_TOKEN(aux_sym__in_token1); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(164); + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(165); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(166); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(167); + END_STATE(); + case 61: + ACCEPT_TOKEN(aux_sym_entity_declaration_token2); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(168); + END_STATE(); + case 62: + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(169); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(170); + END_STATE(); + case 63: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(171); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(172); + END_STATE(); + case 64: + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(173); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(174); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(175); + END_STATE(); + case 65: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(176); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(177); + END_STATE(); + case 66: + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(178); + END_STATE(); + case 67: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(179); + END_STATE(); + case 68: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(180); + END_STATE(); + case 69: + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(181); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(182); + if (lookahead == 'X' || + lookahead == 'x') ADVANCE(183); + END_STATE(); + case 70: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(184); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(185); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(186); + END_STATE(); + case 71: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(187); + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(188); + END_STATE(); + case 72: + ACCEPT_TOKEN(aux_sym_architecture_body_token2); + END_STATE(); + case 73: + ACCEPT_TOKEN(aux_sym__sensitivity_clause_token1); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(189); + END_STATE(); + case 74: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(190); + END_STATE(); + case 75: + ACCEPT_TOKEN(aux_sym_reduction_token2); + END_STATE(); + case 76: + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(191); + END_STATE(); + case 77: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(192); + END_STATE(); + case 78: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(193); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(194); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(195); + END_STATE(); + case 79: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(196); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(197); + END_STATE(); + case 80: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(198); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(199); + END_STATE(); + case 81: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(200); + END_STATE(); + case 82: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(201); + END_STATE(); + case 83: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(202); + END_STATE(); + case 84: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(203); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(204); + if (lookahead == 'J' || + lookahead == 'j') ADVANCE(205); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(206); + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(207); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(208); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(209); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(210); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(211); + END_STATE(); + case 85: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(212); + END_STATE(); + case 86: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(213); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(214); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(215); + END_STATE(); + case 87: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(216); + if (lookahead == 'Q' || + lookahead == 'q') ADVANCE(217); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(218); + END_STATE(); + case 88: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(219); + END_STATE(); + case 89: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(220); + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(221); + END_STATE(); + case 90: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(222); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(223); + END_STATE(); + case 91: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(224); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(225); + END_STATE(); + case 92: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(226); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(227); + END_STATE(); + case 93: + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(228); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(229); + END_STATE(); + case 94: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(230); + END_STATE(); + case 95: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(231); + END_STATE(); + case 96: + ACCEPT_TOKEN(aux_sym_ascending_range_token1); + END_STATE(); + case 97: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(232); + END_STATE(); + case 98: + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(233); + END_STATE(); + case 99: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(234); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(235); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(236); + END_STATE(); + case 100: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(237); + END_STATE(); + case 101: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(238); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(239); + END_STATE(); + case 102: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(240); + END_STATE(); + case 103: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(241); + END_STATE(); + case 104: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(242); + END_STATE(); + case 105: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(243); + END_STATE(); + case 106: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(244); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(245); + END_STATE(); + case 107: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(246); + END_STATE(); + case 108: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(247); + END_STATE(); + case 109: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(248); + END_STATE(); + case 110: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(249); + END_STATE(); + case 111: + ACCEPT_TOKEN(aux_sym_factor_token2); + END_STATE(); + case 112: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(250); + END_STATE(); + case 113: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(251); + END_STATE(); + case 114: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(252); + END_STATE(); + case 115: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(253); + END_STATE(); + case 116: + ACCEPT_TOKEN(aux_sym_all_token1); + END_STATE(); + case 117: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(254); + END_STATE(); + case 118: + ACCEPT_TOKEN(aux_sym_reduction_token1); + END_STATE(); + case 119: + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(255); + END_STATE(); + case 120: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(256); + END_STATE(); + case 121: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(257); + END_STATE(); + case 122: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(258); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(259); + END_STATE(); + case 123: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(260); + END_STATE(); + case 124: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(261); + END_STATE(); + case 125: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(262); + END_STATE(); + case 126: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(263); + END_STATE(); + case 127: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(264); + END_STATE(); + case 128: + ACCEPT_TOKEN(aux_sym_PSL_Type_Class_token1); + if (lookahead == '_') ADVANCE(265); + END_STATE(); + case 129: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(266); + END_STATE(); + case 130: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(267); + END_STATE(); + case 131: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(268); + END_STATE(); + case 132: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(269); + END_STATE(); + case 133: + ACCEPT_TOKEN(aux_sym_signal_kind_token2); + END_STATE(); + case 134: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(270); + END_STATE(); + case 135: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(271); + END_STATE(); + case 136: + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(272); + END_STATE(); + case 137: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(273); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(274); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(275); + END_STATE(); + case 138: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(276); + END_STATE(); + case 139: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(277); + END_STATE(); + case 140: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(278); + END_STATE(); + case 141: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(279); + END_STATE(); + case 142: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(280); + END_STATE(); + case 143: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(281); + END_STATE(); + case 144: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(282); + END_STATE(); + case 145: + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(283); + END_STATE(); + case 146: + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(284); + END_STATE(); + case 147: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(285); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(286); + END_STATE(); + case 148: + ACCEPT_TOKEN(aux_sym_entity_declaration_token4); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(287); + END_STATE(); + case 149: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(288); + END_STATE(); + case 150: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(289); + END_STATE(); + case 151: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(290); + END_STATE(); + case 152: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(291); + END_STATE(); + case 153: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(292); + END_STATE(); + case 154: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(293); + END_STATE(); + case 155: + ACCEPT_TOKEN(aux_sym_block_configuration_token1); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(294); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(295); + END_STATE(); + case 156: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(296); + END_STATE(); + case 157: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(297); + END_STATE(); + case 158: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(298); + END_STATE(); + case 159: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(299); + END_STATE(); + case 160: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(300); + END_STATE(); + case 161: + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(301); + END_STATE(); + case 162: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(302); + END_STATE(); + case 163: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(303); + END_STATE(); + case 164: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(304); + END_STATE(); + case 165: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(305); + END_STATE(); + case 166: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(306); + END_STATE(); + case 167: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(307); + END_STATE(); + case 168: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(308); + END_STATE(); + case 169: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(309); + END_STATE(); + case 170: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(310); + END_STATE(); + case 171: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(311); + END_STATE(); + case 172: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(312); + END_STATE(); + case 173: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(313); + END_STATE(); + case 174: + if (lookahead == 'K' || + lookahead == 'k') ADVANCE(314); + END_STATE(); + case 175: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(315); + END_STATE(); + case 176: + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(316); + END_STATE(); + case 177: + ACCEPT_TOKEN(aux_sym__predefined_designator_token5); + END_STATE(); + case 178: + ACCEPT_TOKEN(aux_sym_generic_map_aspect_token1); + END_STATE(); + case 179: + ACCEPT_TOKEN(aux_sym_term_token2); + END_STATE(); + case 180: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(317); + END_STATE(); + case 181: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(318); + END_STATE(); + case 182: + ACCEPT_TOKEN(aux_sym_procedure_instantiation_declaration_token1); + END_STATE(); + case 183: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(319); + END_STATE(); + case 184: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(320); + END_STATE(); + case 185: + ACCEPT_TOKEN(aux_sym_reduction_token5); + END_STATE(); + case 186: + ACCEPT_TOKEN(aux_sym_factor_token1); + END_STATE(); + case 187: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(321); + END_STATE(); + case 188: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(322); + END_STATE(); + case 189: + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(323); + END_STATE(); + case 190: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(324); + END_STATE(); + case 191: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(325); + END_STATE(); + case 192: + ACCEPT_TOKEN(aux_sym__out_token1); + END_STATE(); + case 193: + if (lookahead == 'K' || + lookahead == 'k') ADVANCE(326); + END_STATE(); + case 194: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(327); + END_STATE(); + case 195: + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(328); + END_STATE(); + case 196: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(329); + END_STATE(); + case 197: + ACCEPT_TOKEN(aux_sym__predefined_designator_token7); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(330); + END_STATE(); + case 198: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(331); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(332); + END_STATE(); + case 199: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(333); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(334); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(335); + END_STATE(); + case 200: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(336); + END_STATE(); + case 201: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(337); + END_STATE(); + case 202: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(338); + END_STATE(); + case 203: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(339); + END_STATE(); + case 204: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(340); + END_STATE(); + case 205: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(341); + END_STATE(); + case 206: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(342); + END_STATE(); + case 207: + ACCEPT_TOKEN(aux_sym_term_token1); + END_STATE(); + case 208: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(343); + END_STATE(); + case 209: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(344); + END_STATE(); + case 210: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(345); + END_STATE(); + case 211: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(346); + END_STATE(); + case 212: + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(347); + END_STATE(); + case 213: + ACCEPT_TOKEN(aux_sym_shift_expression_token5); + END_STATE(); + case 214: + ACCEPT_TOKEN(aux_sym_shift_expression_token6); + END_STATE(); + case 215: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(348); + END_STATE(); + case 216: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(349); + END_STATE(); + case 217: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(350); + END_STATE(); + case 218: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(351); + END_STATE(); + case 219: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(352); + END_STATE(); + case 220: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(353); + END_STATE(); + case 221: + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(354); + END_STATE(); + case 222: + ACCEPT_TOKEN(aux_sym_shift_expression_token3); + END_STATE(); + case 223: + ACCEPT_TOKEN(aux_sym_shift_expression_token1); + END_STATE(); + case 224: + ACCEPT_TOKEN(aux_sym_shift_expression_token4); + END_STATE(); + case 225: + ACCEPT_TOKEN(aux_sym_shift_expression_token2); + END_STATE(); + case 226: + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(355); + END_STATE(); + case 227: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(356); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(357); + END_STATE(); + case 228: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(358); + END_STATE(); + case 229: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(359); + END_STATE(); + case 230: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(360); + END_STATE(); + case 231: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(361); + END_STATE(); + case 232: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(362); + END_STATE(); + case 233: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(363); + END_STATE(); + case 234: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(364); + END_STATE(); + case 235: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(365); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(366); + END_STATE(); + case 236: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(367); + END_STATE(); + case 237: + ACCEPT_TOKEN(aux_sym_binding_indication_token1); + END_STATE(); + case 238: + ACCEPT_TOKEN(aux_sym__predefined_designator_token8); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(368); + END_STATE(); + case 239: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(369); + END_STATE(); + case 240: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(370); + END_STATE(); + case 241: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(371); + END_STATE(); + case 242: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(372); + END_STATE(); + case 243: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(373); + END_STATE(); + case 244: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(374); + END_STATE(); + case 245: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(375); + END_STATE(); + case 246: + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(376); + END_STATE(); + case 247: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(377); + END_STATE(); + case 248: + ACCEPT_TOKEN(aux_sym_reduction_token3); + END_STATE(); + case 249: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(378); + END_STATE(); + case 250: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(379); + END_STATE(); + case 251: + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(380); + END_STATE(); + case 252: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(381); + END_STATE(); + case 253: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(382); + END_STATE(); + case 254: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(383); + END_STATE(); + case 255: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(384); + END_STATE(); + case 256: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(385); + END_STATE(); + case 257: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(386); + END_STATE(); + case 258: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(387); + END_STATE(); + case 259: + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(388); + END_STATE(); + case 260: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(389); + END_STATE(); + case 261: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(390); + END_STATE(); + case 262: + ACCEPT_TOKEN(aux_sym__predefined_designator_token1); + END_STATE(); + case 263: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(391); + END_STATE(); + case 264: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(392); + END_STATE(); + case 265: + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(393); + END_STATE(); + case 266: + if (lookahead == 'K' || + lookahead == 'k') ADVANCE(394); + END_STATE(); + case 267: + ACCEPT_TOKEN(aux_sym_package_body_token1); + END_STATE(); + case 268: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(395); + END_STATE(); + case 269: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(396); + END_STATE(); + case 270: + ACCEPT_TOKEN(aux_sym_case_statement_token1); + END_STATE(); + case 271: + if (lookahead == 'K' || + lookahead == 'k') ADVANCE(397); + END_STATE(); + case 272: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(398); + END_STATE(); + case 273: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(399); + END_STATE(); + case 274: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(400); + END_STATE(); + case 275: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(401); + END_STATE(); + case 276: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(402); + END_STATE(); + case 277: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(403); + END_STATE(); + case 278: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(404); + END_STATE(); + case 279: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(405); + END_STATE(); + case 280: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(406); + END_STATE(); + case 281: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(407); + END_STATE(); + case 282: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(408); + END_STATE(); + case 283: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(409); + END_STATE(); + case 284: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(410); + END_STATE(); + case 285: + ACCEPT_TOKEN(aux_sym_alternative_conditional_waveforms_token1); + END_STATE(); + case 286: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(411); + END_STATE(); + case 287: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(412); + END_STATE(); + case 288: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(413); + END_STATE(); + case 289: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(414); + END_STATE(); + case 290: + ACCEPT_TOKEN(aux_sym_exit_statement_token1); + END_STATE(); + case 291: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(415); + END_STATE(); + case 292: + ACCEPT_TOKEN(aux_sym_PSL_Built_In_Function_Call_token3); + END_STATE(); + case 293: + ACCEPT_TOKEN(aux_sym_file_type_definition_token1); + END_STATE(); + case 294: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(416); + END_STATE(); + case 295: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(417); + END_STATE(); + case 296: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(418); + END_STATE(); + case 297: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(419); + END_STATE(); + case 298: + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(420); + END_STATE(); + case 299: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(421); + END_STATE(); + case 300: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(422); + END_STATE(); + case 301: + ACCEPT_TOKEN(aux_sym__predefined_designator_token4); + END_STATE(); + case 302: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(423); + END_STATE(); + case 303: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(424); + END_STATE(); + case 304: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(425); + END_STATE(); + case 305: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(426); + END_STATE(); + case 306: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(427); + END_STATE(); + case 307: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(428); + END_STATE(); + case 308: + if (lookahead == 'K' || + lookahead == 'k') ADVANCE(429); + END_STATE(); + case 309: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(430); + END_STATE(); + case 310: + if (lookahead == '_') ADVANCE(431); + END_STATE(); + case 311: + ACCEPT_TOKEN(aux_sym__predefined_designator_token2); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(432); + END_STATE(); + case 312: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(433); + END_STATE(); + case 313: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(434); + END_STATE(); + case 314: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(435); + END_STATE(); + case 315: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(436); + END_STATE(); + case 316: + ACCEPT_TOKEN(aux_sym_loop_statement_token1); + END_STATE(); + case 317: + ACCEPT_TOKEN(aux_sym_reduction_token4); + END_STATE(); + case 318: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(437); + END_STATE(); + case 319: + ACCEPT_TOKEN(aux_sym_next_statement_token1); + if (lookahead == '_') ADVANCE(438); + END_STATE(); + case 320: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(439); + END_STATE(); + case 321: + ACCEPT_TOKEN(aux_sym_null_token1); + END_STATE(); + case 322: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(440); + END_STATE(); + case 323: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(441); + END_STATE(); + case 324: + ACCEPT_TOKEN(aux_sym_open_token1); + END_STATE(); + case 325: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(442); + END_STATE(); + case 326: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(443); + END_STATE(); + case 327: + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(444); + END_STATE(); + case 328: + if (lookahead == '_') ADVANCE(445); + END_STATE(); + case 329: + ACCEPT_TOKEN(aux_sym_port_clause_token1); + END_STATE(); + case 330: + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(446); + END_STATE(); + case 331: + ACCEPT_TOKEN(aux_sym__predefined_designator_token10); + END_STATE(); + case 332: + ACCEPT_TOKEN(aux_sym_PSL_Built_In_Function_Call_token1); + END_STATE(); + case 333: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(447); + END_STATE(); + case 334: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(448); + END_STATE(); + case 335: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(449); + END_STATE(); + case 336: + ACCEPT_TOKEN(aux_sym__procedure_specification_token1); + END_STATE(); + case 337: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(450); + END_STATE(); + case 338: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(451); + END_STATE(); + case 339: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(452); + END_STATE(); + case 340: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(453); + END_STATE(); + case 341: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(454); + END_STATE(); + case 342: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(455); + END_STATE(); + case 343: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(456); + END_STATE(); + case 344: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(457); + END_STATE(); + case 345: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(458); + END_STATE(); + case 346: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(459); + END_STATE(); + case 347: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(460); + END_STATE(); + case 348: + ACCEPT_TOKEN(aux_sym_PSL_Built_In_Function_Call_token2); + END_STATE(); + case 349: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(461); + END_STATE(); + case 350: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(462); + END_STATE(); + case 351: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(463); + END_STATE(); + case 352: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(464); + END_STATE(); + case 353: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(465); + END_STATE(); + case 354: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(466); + END_STATE(); + case 355: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(467); + END_STATE(); + case 356: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(468); + END_STATE(); + case 357: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(469); + END_STATE(); + case 358: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(470); + END_STATE(); + case 359: + ACCEPT_TOKEN(aux_sym__predefined_designator_token9); + END_STATE(); + case 360: + if (lookahead == '_') ADVANCE(471); + END_STATE(); + case 361: + ACCEPT_TOKEN(aux_sym_if_token1); + END_STATE(); + case 362: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(472); + END_STATE(); + case 363: + ACCEPT_TOKEN(aux_sym_incomplete_type_declaration_token1); + END_STATE(); + case 364: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(473); + END_STATE(); + case 365: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(474); + END_STATE(); + case 366: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(475); + END_STATE(); + case 367: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(476); + END_STATE(); + case 368: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(477); + END_STATE(); + case 369: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(478); + END_STATE(); + case 370: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(479); + END_STATE(); + case 371: + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(480); + END_STATE(); + case 372: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(481); + END_STATE(); + case 373: + ACCEPT_TOKEN(aux_sym_wait_statement_token1); + END_STATE(); + case 374: + ACCEPT_TOKEN(aux_sym__when_clause_token1); + END_STATE(); + case 375: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(482); + END_STATE(); + case 376: + ACCEPT_TOKEN(aux_sym_selected_waveform_assignment_token1); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(483); + END_STATE(); + case 377: + ACCEPT_TOKEN(aux_sym_reduction_token6); + END_STATE(); + case 378: + ACCEPT_TOKEN(aux_sym_PSL_Termination_FL_Property_token3); + END_STATE(); + case 379: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(484); + END_STATE(); + case 380: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(485); + END_STATE(); + case 381: + ACCEPT_TOKEN(aux_sym__after_token1); + END_STATE(); + case 382: + ACCEPT_TOKEN(aux_sym_alias_declaration_token1); + END_STATE(); + case 383: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(486); + END_STATE(); + case 384: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(487); + END_STATE(); + case 385: + ACCEPT_TOKEN(aux_sym_unbounded_array_definition_token1); + END_STATE(); + case 386: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(488); + END_STATE(); + case 387: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(489); + END_STATE(); + case 388: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(490); + END_STATE(); + case 389: + if (lookahead == '_') ADVANCE(491); + END_STATE(); + case 390: + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(492); + END_STATE(); + case 391: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(493); + END_STATE(); + case 392: + ACCEPT_TOKEN(aux_sym_entity_declaration_token3); + END_STATE(); + case 393: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(494); + END_STATE(); + case 394: + ACCEPT_TOKEN(aux_sym_block_statement_token1); + END_STATE(); + case 395: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(495); + END_STATE(); + case 396: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(496); + END_STATE(); + case 397: + ACCEPT_TOKEN(aux_sym_PSL_Clock_Declaration_token1); + END_STATE(); + case 398: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(497); + END_STATE(); + case 399: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(498); + END_STATE(); + case 400: + ACCEPT_TOKEN(aux_sym_PSL_Constant_Parameter_Specification_token1); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(499); + END_STATE(); + case 401: + if (lookahead == 'X' || + lookahead == 'x') ADVANCE(500); + END_STATE(); + case 402: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(501); + END_STATE(); + case 403: + ACCEPT_TOKEN(aux_sym_PSL_Cover_Directive_token1); + END_STATE(); + case 404: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(502); + END_STATE(); + case 405: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(503); + END_STATE(); + case 406: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(504); + END_STATE(); + case 407: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(505); + END_STATE(); + case 408: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(506); + END_STATE(); + case 409: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(507); + END_STATE(); + case 410: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(508); + END_STATE(); + case 411: + ACCEPT_TOKEN(aux_sym_elsif_token1); + END_STATE(); + case 412: + ACCEPT_TOKEN(aux_sym_PSL_Built_In_Function_Call_token10); + END_STATE(); + case 413: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(509); + END_STATE(); + case 414: + ACCEPT_TOKEN(aux_sym__predefined_designator_token21); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(510); + END_STATE(); + case 415: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(511); + END_STATE(); + case 416: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(512); + END_STATE(); + case 417: + ACCEPT_TOKEN(aux_sym_simple_force_assignment_token1); + END_STATE(); + case 418: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(513); + END_STATE(); + case 419: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(514); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(515); + END_STATE(); + case 420: + ACCEPT_TOKEN(aux_sym_group_template_declaration_token1); + END_STATE(); + case 421: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(516); + END_STATE(); + case 422: + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(517); + END_STATE(); + case 423: + ACCEPT_TOKEN(aux_sym__predefined_designator_token6); + END_STATE(); + case 424: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(518); + END_STATE(); + case 425: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(519); + END_STATE(); + case 426: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(520); + END_STATE(); + case 427: + ACCEPT_TOKEN(aux_sym__inout_token1); + END_STATE(); + case 428: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(521); + END_STATE(); + case 429: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(522); + END_STATE(); + case 430: + ACCEPT_TOKEN(aux_sym_entity_class_token1); + END_STATE(); + case 431: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(523); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(524); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(525); + END_STATE(); + case 432: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(526); + END_STATE(); + case 433: + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(527); + END_STATE(); + case 434: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(528); + END_STATE(); + case 435: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(529); + END_STATE(); + case 436: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(530); + END_STATE(); + case 437: + ACCEPT_TOKEN(aux_sym_PSL_Invariant_FL_Property_token2); + END_STATE(); + case 438: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(531); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(532); + END_STATE(); + case 439: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(533); + END_STATE(); + case 440: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(534); + END_STATE(); + case 441: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(535); + END_STATE(); + case 442: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(536); + END_STATE(); + case 443: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(537); + END_STATE(); + case 444: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(538); + END_STATE(); + case 445: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(539); + END_STATE(); + case 446: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(540); + END_STATE(); + case 447: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(541); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(542); + END_STATE(); + case 448: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(543); + END_STATE(); + case 449: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(544); + END_STATE(); + case 450: + ACCEPT_TOKEN(aux_sym__predefined_designator_token19); + END_STATE(); + case 451: + ACCEPT_TOKEN(aux_sym_range_constraint_token1); + END_STATE(); + case 452: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(545); + END_STATE(); + case 453: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(546); + END_STATE(); + case 454: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(547); + END_STATE(); + case 455: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(548); + END_STATE(); + case 456: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(549); + END_STATE(); + case 457: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(550); + END_STATE(); + case 458: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(551); + END_STATE(); + case 459: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(552); + END_STATE(); + case 460: + ACCEPT_TOKEN(aux_sym__predefined_designator_token3); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(553); + END_STATE(); + case 461: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(554); + END_STATE(); + case 462: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(555); + END_STATE(); + case 463: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(556); + END_STATE(); + case 464: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(557); + END_STATE(); + case 465: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(558); + END_STATE(); + case 466: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(559); + END_STATE(); + case 467: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(560); + END_STATE(); + case 468: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(561); + END_STATE(); + case 469: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(562); + END_STATE(); + case 470: + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(563); + END_STATE(); + case 471: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(564); + END_STATE(); + case 472: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(565); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(566); + END_STATE(); + case 473: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(567); + END_STATE(); + case 474: + ACCEPT_TOKEN(aux_sym_PSL_Union_Expression_token1); + END_STATE(); + case 475: + ACCEPT_TOKEN(aux_sym_physical_type_definition_token1); + END_STATE(); + case 476: + ACCEPT_TOKEN(aux_sym__condition_clause_token1); + END_STATE(); + case 477: + ACCEPT_TOKEN(aux_sym__predefined_designator_with_expression_token1); + END_STATE(); + case 478: + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(568); + END_STATE(); + case 479: + ACCEPT_TOKEN(aux_sym_PSL_VMode_token1); + END_STATE(); + case 480: + ACCEPT_TOKEN(aux_sym_PSL_VProp_token1); + END_STATE(); + case 481: + ACCEPT_TOKEN(aux_sym_verification_unit_binding_indication_token1); + END_STATE(); + case 482: + ACCEPT_TOKEN(aux_sym_while_loop_token1); + END_STATE(); + case 483: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(569); + END_STATE(); + case 484: + ACCEPT_TOKEN(aux_sym_access_type_definition_token1); + END_STATE(); + case 485: + ACCEPT_TOKEN(aux_sym__predefined_designator_token22); + END_STATE(); + case 486: + ACCEPT_TOKEN(aux_sym_PSL_Invariant_FL_Property_token1); + END_STATE(); + case 487: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(570); + END_STATE(); + case 488: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(571); + END_STATE(); + case 489: + ACCEPT_TOKEN(aux_sym_assertion_statement_token2); + END_STATE(); + case 490: + ACCEPT_TOKEN(aux_sym_PSL_Assume_Directive_token1); + if (lookahead == '_') ADVANCE(572); + END_STATE(); + case 491: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(573); + END_STATE(); + case 492: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(574); + END_STATE(); + case 493: + ACCEPT_TOKEN(aux_sym_PSL_Bounding_FL_Property_token1); + END_STATE(); + case 494: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(575); + END_STATE(); + case 495: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(576); + END_STATE(); + case 496: + ACCEPT_TOKEN(aux_sym__buffer_token1); + END_STATE(); + case 497: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(577); + END_STATE(); + case 498: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(578); + END_STATE(); + case 499: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(579); + END_STATE(); + case 500: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(580); + END_STATE(); + case 501: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(581); + END_STATE(); + case 502: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(582); + END_STATE(); + case 503: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(583); + END_STATE(); + case 504: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(584); + END_STATE(); + case 505: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(585); + END_STATE(); + case 506: + ACCEPT_TOKEN(aux_sym_descending_range_token1); + END_STATE(); + case 507: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(586); + END_STATE(); + case 508: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(587); + END_STATE(); + case 509: + ACCEPT_TOKEN(aux_sym_entity_declaration_token1); + END_STATE(); + case 510: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(588); + END_STATE(); + case 511: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(589); + END_STATE(); + case 512: + ACCEPT_TOKEN(aux_sym_PSL_Property_Replicator_token1); + END_STATE(); + case 513: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(590); + END_STATE(); + case 514: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(591); + END_STATE(); + case 515: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(592); + END_STATE(); + case 516: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(593); + END_STATE(); + case 517: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(594); + END_STATE(); + case 518: + ACCEPT_TOKEN(aux_sym__procedure_specification_token2); + END_STATE(); + case 519: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(595); + END_STATE(); + case 520: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(596); + END_STATE(); + case 521: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(597); + END_STATE(); + case 522: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(598); + END_STATE(); + case 523: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(599); + END_STATE(); + case 524: + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(600); + END_STATE(); + case 525: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(601); + END_STATE(); + case 526: + ACCEPT_TOKEN(aux_sym__predefined_designator_token11); + END_STATE(); + case 527: + ACCEPT_TOKEN(aux_sym__predefined_designator_token13); + END_STATE(); + case 528: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(602); + END_STATE(); + case 529: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(603); + END_STATE(); + case 530: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(604); + END_STATE(); + case 531: + ACCEPT_TOKEN(aux_sym_PSL_Extended_Ocurrence_FL_Property_token1); + END_STATE(); + case 532: + ACCEPT_TOKEN(aux_sym_PSL_Extended_Ocurrence_FL_Property_token2); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(605); + END_STATE(); + case 533: + ACCEPT_TOKEN(aux_sym_PSL_Built_In_Function_Call_token8); + if (lookahead == '_') ADVANCE(606); + END_STATE(); + case 534: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(607); + END_STATE(); + case 535: + ACCEPT_TOKEN(aux_sym_PSL_Built_In_Function_Call_token6); + if (lookahead == '0') ADVANCE(608); + END_STATE(); + case 536: + ACCEPT_TOKEN(aux_sym_others_token1); + END_STATE(); + case 537: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(609); + END_STATE(); + case 538: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(610); + END_STATE(); + case 539: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(611); + END_STATE(); + case 540: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(612); + END_STATE(); + case 541: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(613); + END_STATE(); + case 542: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(614); + END_STATE(); + case 543: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(615); + END_STATE(); + case 544: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(616); + END_STATE(); + case 545: + ACCEPT_TOKEN(aux_sym_record_type_definition_token1); + END_STATE(); + case 546: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(617); + END_STATE(); + case 547: + ACCEPT_TOKEN(aux_sym__reject_token1); + END_STATE(); + case 548: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(618); + END_STATE(); + case 549: + ACCEPT_TOKEN(aux_sym__report_token1); + END_STATE(); + case 550: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(619); + END_STATE(); + case 551: + ACCEPT_TOKEN(aux_sym_return_token1); + END_STATE(); + case 552: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(620); + END_STATE(); + case 553: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(621); + END_STATE(); + case 554: + ACCEPT_TOKEN(aux_sym_selected_waveform_assignment_token2); + END_STATE(); + case 555: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(622); + END_STATE(); + case 556: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(623); + END_STATE(); + case 557: + ACCEPT_TOKEN(aux_sym_shared_variable_declaration_token1); + END_STATE(); + case 558: + ACCEPT_TOKEN(aux_sym_signal_declaration_token1); + END_STATE(); + case 559: + if (lookahead == '_') ADVANCE(624); + END_STATE(); + case 560: + ACCEPT_TOKEN(aux_sym__predefined_designator_token18); + END_STATE(); + case 561: + ACCEPT_TOKEN(aux_sym_PSL_Type_Class_token4); + END_STATE(); + case 562: + ACCEPT_TOKEN(aux_sym_PSL_Strong_Fairness_Directive_token1); + END_STATE(); + case 563: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(625); + END_STATE(); + case 564: + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(626); + END_STATE(); + case 565: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(627); + END_STATE(); + case 566: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(628); + END_STATE(); + case 567: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(629); + END_STATE(); + case 568: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(630); + END_STATE(); + case 569: + ACCEPT_TOKEN(aux_sym_PSL_Compound_SERE_Within_token1); + END_STATE(); + case 570: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(631); + END_STATE(); + case 571: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(632); + END_STATE(); + case 572: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(633); + END_STATE(); + case 573: + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(634); + END_STATE(); + case 574: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(635); + END_STATE(); + case 575: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(636); + END_STATE(); + case 576: + ACCEPT_TOKEN(aux_sym_boolean_token1); + END_STATE(); + case 577: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(637); + END_STATE(); + case 578: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(638); + END_STATE(); + case 579: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(639); + END_STATE(); + case 580: + ACCEPT_TOKEN(aux_sym_context_declaration_token1); + END_STATE(); + case 581: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(640); + END_STATE(); + case 582: + ACCEPT_TOKEN(aux_sym_default_token1); + END_STATE(); + case 583: + ACCEPT_TOKEN(aux_sym__predefined_designator_token17); + END_STATE(); + case 584: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(641); + END_STATE(); + case 585: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(642); + END_STATE(); + case 586: + ACCEPT_TOKEN(aux_sym__predefined_designator_token26); + if (lookahead == '_') ADVANCE(643); + END_STATE(); + case 587: + ACCEPT_TOKEN(aux_sym__predefined_designator_token16); + END_STATE(); + case 588: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(644); + END_STATE(); + case 589: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(645); + END_STATE(); + case 590: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(646); + END_STATE(); + case 591: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(647); + END_STATE(); + case 592: + ACCEPT_TOKEN(aux_sym_generic_clause_token1); + END_STATE(); + case 593: + ACCEPT_TOKEN(aux_sym_simple_waveform_assignment_token1); + END_STATE(); + case 594: + ACCEPT_TOKEN(aux_sym_PSL_HDL_Type_token1); + END_STATE(); + case 595: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(648); + END_STATE(); + case 596: + ACCEPT_TOKEN(aux_sym_PSL_Inherit_Spec_token1); + END_STATE(); + case 597: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(649); + END_STATE(); + case 598: + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(650); + END_STATE(); + case 599: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(651); + END_STATE(); + case 600: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(652); + END_STATE(); + case 601: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(653); + END_STATE(); + case 602: + ACCEPT_TOKEN(aux_sym_library_clause_token1); + END_STATE(); + case 603: + ACCEPT_TOKEN(aux_sym__linkage_token1); + END_STATE(); + case 604: + ACCEPT_TOKEN(aux_sym_entity_class_token2); + END_STATE(); + case 605: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(654); + END_STATE(); + case 606: + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(655); + END_STATE(); + case 607: + ACCEPT_TOKEN(aux_sym_PSL_Type_Class_token3); + END_STATE(); + case 608: + ACCEPT_TOKEN(aux_sym_PSL_Built_In_Function_Call_token7); + END_STATE(); + case 609: + ACCEPT_TOKEN(aux_sym_package_declaration_token1); + END_STATE(); + case 610: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(656); + END_STATE(); + case 611: + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(657); + END_STATE(); + case 612: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(658); + END_STATE(); + case 613: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(659); + END_STATE(); + case 614: + ACCEPT_TOKEN(aux_sym_process_statement_token1); + END_STATE(); + case 615: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(660); + END_STATE(); + case 616: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(661); + END_STATE(); + case 617: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(662); + END_STATE(); + case 618: + ACCEPT_TOKEN(aux_sym_simple_release_assignment_token1); + END_STATE(); + case 619: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(663); + END_STATE(); + case 620: + if (lookahead == '_') ADVANCE(664); + END_STATE(); + case 621: + ACCEPT_TOKEN(aux_sym__predefined_designator_token12); + END_STATE(); + case 622: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(665); + END_STATE(); + case 623: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(666); + END_STATE(); + case 624: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(667); + END_STATE(); + case 625: + ACCEPT_TOKEN(aux_sym_subtype_declaration_token1); + END_STATE(); + case 626: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(668); + END_STATE(); + case 627: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(669); + END_STATE(); + case 628: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(670); + END_STATE(); + case 629: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(671); + END_STATE(); + case 630: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(672); + END_STATE(); + case 631: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(673); + END_STATE(); + case 632: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(674); + END_STATE(); + case 633: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(675); + END_STATE(); + case 634: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(676); + END_STATE(); + case 635: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(677); + END_STATE(); + case 636: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(678); + END_STATE(); + case 637: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(679); + END_STATE(); + case 638: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(680); + END_STATE(); + case 639: + ACCEPT_TOKEN(aux_sym_constant_declaration_token1); + END_STATE(); + case 640: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(681); + END_STATE(); + case 641: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(682); + END_STATE(); + case 642: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(683); + END_STATE(); + case 643: + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(684); + END_STATE(); + case 644: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(685); + END_STATE(); + case 645: + ACCEPT_TOKEN(aux_sym_PSL_Fairness_Directive_token1); + END_STATE(); + case 646: + ACCEPT_TOKEN(aux_sym__function_specification_token1); + END_STATE(); + case 647: + ACCEPT_TOKEN(aux_sym_for_generate_statement_token1); + END_STATE(); + case 648: + ACCEPT_TOKEN(aux_sym_inertial_expression_token1); + END_STATE(); + case 649: + if (lookahead == '_') ADVANCE(686); + END_STATE(); + case 650: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(687); + END_STATE(); + case 651: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(688); + END_STATE(); + case 652: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(689); + END_STATE(); + case 653: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(690); + END_STATE(); + case 654: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(691); + END_STATE(); + case 655: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(692); + END_STATE(); + case 656: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(693); + END_STATE(); + case 657: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(694); + END_STATE(); + case 658: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(695); + END_STATE(); + case 659: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(696); + END_STATE(); + case 660: + ACCEPT_TOKEN(aux_sym_entity_class_token3); + END_STATE(); + case 661: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(697); + END_STATE(); + case 662: + ACCEPT_TOKEN(aux_sym_signal_kind_token1); + END_STATE(); + case 663: + ACCEPT_TOKEN(aux_sym_PSL_Restrict_Directive_token1); + if (lookahead == '_') ADVANCE(698); + END_STATE(); + case 664: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(699); + END_STATE(); + case 665: + ACCEPT_TOKEN(aux_sym_entity_class_token4); + END_STATE(); + case 666: + ACCEPT_TOKEN(aux_sym__severity_token1); + END_STATE(); + case 667: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(700); + END_STATE(); + case 668: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(701); + END_STATE(); + case 669: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(702); + END_STATE(); + case 670: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(703); + END_STATE(); + case 671: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(704); + END_STATE(); + case 672: + ACCEPT_TOKEN(aux_sym_variable_declaration_token1); + END_STATE(); + case 673: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(705); + END_STATE(); + case 674: + ACCEPT_TOKEN(aux_sym__predefined_designator_token14); + END_STATE(); + case 675: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(706); + END_STATE(); + case 676: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(707); + END_STATE(); + case 677: + ACCEPT_TOKEN(aux_sym_attribute_declaration_token1); + END_STATE(); + case 678: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(708); + END_STATE(); + case 679: + ACCEPT_TOKEN(aux_sym_component_declaration_token1); + END_STATE(); + case 680: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(709); + END_STATE(); + case 681: + ACCEPT_TOKEN(aux_sym_PSL_Built_In_Function_Call_token5); + END_STATE(); + case 682: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(710); + END_STATE(); + case 683: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(711); + END_STATE(); + case 684: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(712); + END_STATE(); + case 685: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(713); + END_STATE(); + case 686: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(714); + END_STATE(); + case 687: + ACCEPT_TOKEN(aux_sym_PSL_Built_In_Function_Call_token4); + END_STATE(); + case 688: + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(715); + END_STATE(); + case 689: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(716); + END_STATE(); + case 690: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(717); + END_STATE(); + case 691: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(718); + END_STATE(); + case 692: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(719); + END_STATE(); + case 693: + ACCEPT_TOKEN(aux_sym_procedure_parameter_clause_token1); + END_STATE(); + case 694: + ACCEPT_TOKEN(aux_sym__predefined_designator_token30); + END_STATE(); + case 695: + ACCEPT_TOKEN(aux_sym_assertion_statement_token1); + END_STATE(); + case 696: + ACCEPT_TOKEN(aux_sym__procedure_specification_token3); + END_STATE(); + case 697: + ACCEPT_TOKEN(aux_sym_protected_type_declaration_token1); + END_STATE(); + case 698: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(720); + END_STATE(); + case 699: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(721); + END_STATE(); + case 700: + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(722); + END_STATE(); + case 701: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(723); + END_STATE(); + case 702: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(724); + END_STATE(); + case 703: + ACCEPT_TOKEN(aux_sym_transport_token1); + END_STATE(); + case 704: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(725); + END_STATE(); + case 705: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(726); + END_STATE(); + case 706: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(727); + END_STATE(); + case 707: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(728); + END_STATE(); + case 708: + ACCEPT_TOKEN(aux_sym_PSL_Type_Class_token2); + END_STATE(); + case 709: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(729); + END_STATE(); + case 710: + ACCEPT_TOKEN(aux_sym__predefined_designator_token15); + END_STATE(); + case 711: + ACCEPT_TOKEN(aux_sym_disconnection_specification_token1); + END_STATE(); + case 712: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(730); + END_STATE(); + case 713: + ACCEPT_TOKEN(aux_sym_PSL_Ocurrence_FL_Property_token1); + END_STATE(); + case 714: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(731); + END_STATE(); + case 715: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(732); + END_STATE(); + case 716: + ACCEPT_TOKEN(aux_sym__predefined_designator_token23); + END_STATE(); + case 717: + ACCEPT_TOKEN(aux_sym__predefined_designator_token25); + END_STATE(); + case 718: + ACCEPT_TOKEN(aux_sym_PSL_Extended_Ocurrence_FL_Property_token3); + if (lookahead == '_') ADVANCE(733); + END_STATE(); + case 719: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(734); + END_STATE(); + case 720: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(735); + END_STATE(); + case 721: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(736); + END_STATE(); + case 722: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(737); + END_STATE(); + case 723: + ACCEPT_TOKEN(aux_sym_PSL_Termination_FL_Property_token2); + END_STATE(); + case 724: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(738); + END_STATE(); + case 725: + ACCEPT_TOKEN(aux_sym_unaffected_token1); + END_STATE(); + case 726: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(739); + END_STATE(); + case 727: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(740); + END_STATE(); + case 728: + ACCEPT_TOKEN(aux_sym_PSL_Termination_FL_Property_token1); + END_STATE(); + case 729: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(741); + END_STATE(); + case 730: + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(742); + END_STATE(); + case 731: + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(743); + END_STATE(); + case 732: + ACCEPT_TOKEN(aux_sym__predefined_designator_token24); + END_STATE(); + case 733: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(744); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(745); + END_STATE(); + case 734: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(746); + END_STATE(); + case 735: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(747); + END_STATE(); + case 736: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(748); + END_STATE(); + case 737: + ACCEPT_TOKEN(aux_sym__predefined_designator_token28); + END_STATE(); + case 738: + ACCEPT_TOKEN(aux_sym__predefined_designator_token20); + END_STATE(); + case 739: + ACCEPT_TOKEN(aux_sym_architecture_body_token1); + END_STATE(); + case 740: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(749); + END_STATE(); + case 741: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(750); + END_STATE(); + case 742: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(751); + END_STATE(); + case 743: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(752); + END_STATE(); + case 744: + ACCEPT_TOKEN(aux_sym_PSL_Extended_Ocurrence_FL_Property_token4); + END_STATE(); + case 745: + ACCEPT_TOKEN(aux_sym_PSL_Extended_Ocurrence_FL_Property_token5); + END_STATE(); + case 746: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(753); + END_STATE(); + case 747: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(754); + END_STATE(); + case 748: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(755); + END_STATE(); + case 749: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(756); + END_STATE(); + case 750: + ACCEPT_TOKEN(aux_sym_configuration_declaration_token1); + END_STATE(); + case 751: + ACCEPT_TOKEN(aux_sym__predefined_designator_token27); + END_STATE(); + case 752: + ACCEPT_TOKEN(aux_sym__predefined_designator_token29); + END_STATE(); + case 753: + ACCEPT_TOKEN(aux_sym_PSL_Built_In_Function_Call_token9); + END_STATE(); + case 754: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(757); + END_STATE(); + case 755: + ACCEPT_TOKEN(aux_sym__range_designator_token1); + END_STATE(); + case 756: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(758); + END_STATE(); + case 757: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(759); + END_STATE(); + case 758: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(760); + END_STATE(); + case 759: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(761); + END_STATE(); + case 760: + ACCEPT_TOKEN(aux_sym_PSL_Assume_Guarantee_Directive_token1); + END_STATE(); + case 761: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(762); + END_STATE(); + case 762: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(763); + END_STATE(); + case 763: + ACCEPT_TOKEN(aux_sym_PSL_Restrict_Guarantee_Directive_token1); + END_STATE(); + default: + return false; + } +} + +static const TSLexMode ts_lex_modes[STATE_COUNT] = { + [0] = {.lex_state = 0}, + [1] = {.lex_state = 65}, + [2] = {.lex_state = 65}, + [3] = {.lex_state = 65}, + [4] = {.lex_state = 65}, + [5] = {.lex_state = 65}, + [6] = {.lex_state = 65}, + [7] = {.lex_state = 65}, + [8] = {.lex_state = 65}, + [9] = {.lex_state = 65}, + [10] = {.lex_state = 65}, + [11] = {.lex_state = 65}, + [12] = {.lex_state = 65}, + [13] = {.lex_state = 65}, + [14] = {.lex_state = 65}, + [15] = {.lex_state = 65}, + [16] = {.lex_state = 65}, + [17] = {.lex_state = 65}, + [18] = {.lex_state = 65}, + [19] = {.lex_state = 65}, + [20] = {.lex_state = 65}, + [21] = {.lex_state = 65}, + [22] = {.lex_state = 65}, + [23] = {.lex_state = 65}, + [24] = {.lex_state = 65}, + [25] = {.lex_state = 1}, + [26] = {.lex_state = 1}, + [27] = {.lex_state = 1}, + [28] = {.lex_state = 1}, + [29] = {.lex_state = 1}, + [30] = {.lex_state = 1}, + [31] = {.lex_state = 1}, + [32] = {.lex_state = 1}, + [33] = {.lex_state = 1}, + [34] = {.lex_state = 1}, + [35] = {.lex_state = 1}, + [36] = {.lex_state = 1}, + [37] = {.lex_state = 1}, + [38] = {.lex_state = 1}, + [39] = {.lex_state = 1}, + [40] = {.lex_state = 1}, + [41] = {.lex_state = 1}, + [42] = {.lex_state = 1}, + [43] = {.lex_state = 1}, + [44] = {.lex_state = 1}, + [45] = {.lex_state = 1}, + [46] = {.lex_state = 1}, + [47] = {.lex_state = 1}, + [48] = {.lex_state = 1}, + [49] = {.lex_state = 1}, + [50] = {.lex_state = 1}, + [51] = {.lex_state = 1}, + [52] = {.lex_state = 1}, + [53] = {.lex_state = 1}, + [54] = {.lex_state = 1}, + [55] = {.lex_state = 1}, + [56] = {.lex_state = 1}, + [57] = {.lex_state = 1}, + [58] = {.lex_state = 1}, + [59] = {.lex_state = 1}, + [60] = {.lex_state = 1}, + [61] = {.lex_state = 1}, + [62] = {.lex_state = 2}, + [63] = {.lex_state = 2}, + [64] = {.lex_state = 2}, + [65] = {.lex_state = 2}, + [66] = {.lex_state = 2}, + [67] = {.lex_state = 2}, + [68] = {.lex_state = 2}, + [69] = {.lex_state = 2}, + [70] = {.lex_state = 2}, + [71] = {.lex_state = 2}, + [72] = {.lex_state = 2}, + [73] = {.lex_state = 2}, + [74] = {.lex_state = 1}, + [75] = {.lex_state = 1}, + [76] = {.lex_state = 1}, + [77] = {.lex_state = 1}, + [78] = {.lex_state = 1}, + [79] = {.lex_state = 1}, + [80] = {.lex_state = 1}, + [81] = {.lex_state = 1}, + [82] = {.lex_state = 1}, + [83] = {.lex_state = 1}, + [84] = {.lex_state = 1}, + [85] = {.lex_state = 1}, + [86] = {.lex_state = 1}, + [87] = {.lex_state = 1}, + [88] = {.lex_state = 1}, + [89] = {.lex_state = 1}, + [90] = {.lex_state = 1}, + [91] = {.lex_state = 1}, + [92] = {.lex_state = 1}, + [93] = {.lex_state = 1}, + [94] = {.lex_state = 1}, + [95] = {.lex_state = 1}, + [96] = {.lex_state = 1}, + [97] = {.lex_state = 1}, + [98] = {.lex_state = 1}, + [99] = {.lex_state = 1}, + [100] = {.lex_state = 1}, + [101] = {.lex_state = 1}, + [102] = {.lex_state = 1}, + [103] = {.lex_state = 1}, + [104] = {.lex_state = 1}, + [105] = {.lex_state = 1}, + [106] = {.lex_state = 1}, + [107] = {.lex_state = 1}, + [108] = {.lex_state = 1}, + [109] = {.lex_state = 1}, + [110] = {.lex_state = 1}, + [111] = {.lex_state = 1}, + [112] = {.lex_state = 1}, + [113] = {.lex_state = 1}, + [114] = {.lex_state = 1}, + [115] = {.lex_state = 1}, + [116] = {.lex_state = 1}, + [117] = {.lex_state = 1}, + [118] = {.lex_state = 1}, + [119] = {.lex_state = 1}, + [120] = {.lex_state = 1}, + [121] = {.lex_state = 1}, + [122] = {.lex_state = 1}, + [123] = {.lex_state = 1}, + [124] = {.lex_state = 1}, + [125] = {.lex_state = 1}, + [126] = {.lex_state = 1}, + [127] = {.lex_state = 1}, + [128] = {.lex_state = 1}, + [129] = {.lex_state = 65}, + [130] = {.lex_state = 65}, + [131] = {.lex_state = 65}, + [132] = {.lex_state = 65}, + [133] = {.lex_state = 1}, + [134] = {.lex_state = 1}, + [135] = {.lex_state = 65}, + [136] = {.lex_state = 65}, + [137] = {.lex_state = 65}, + [138] = {.lex_state = 65}, + [139] = {.lex_state = 65}, + [140] = {.lex_state = 65}, + [141] = {.lex_state = 65}, + [142] = {.lex_state = 65}, + [143] = {.lex_state = 65}, + [144] = {.lex_state = 65}, + [145] = {.lex_state = 65}, + [146] = {.lex_state = 65}, + [147] = {.lex_state = 65}, + [148] = {.lex_state = 65}, + [149] = {.lex_state = 65}, + [150] = {.lex_state = 65}, + [151] = {.lex_state = 65}, + [152] = {.lex_state = 65}, + [153] = {.lex_state = 65}, + [154] = {.lex_state = 65}, + [155] = {.lex_state = 65}, + [156] = {.lex_state = 65}, + [157] = {.lex_state = 65}, + [158] = {.lex_state = 65}, + [159] = {.lex_state = 65}, + [160] = {.lex_state = 65}, + [161] = {.lex_state = 65}, + [162] = {.lex_state = 65}, + [163] = {.lex_state = 65}, + [164] = {.lex_state = 65}, + [165] = {.lex_state = 65}, + [166] = {.lex_state = 65}, + [167] = {.lex_state = 65}, + [168] = {.lex_state = 65}, + [169] = {.lex_state = 65}, + [170] = {.lex_state = 65}, + [171] = {.lex_state = 65}, + [172] = {.lex_state = 65}, + [173] = {.lex_state = 65}, + [174] = {.lex_state = 65}, + [175] = {.lex_state = 1}, + [176] = {.lex_state = 1}, + [177] = {.lex_state = 1}, + [178] = {.lex_state = 65}, + [179] = {.lex_state = 1}, + [180] = {.lex_state = 65}, + [181] = {.lex_state = 27}, + [182] = {.lex_state = 65}, + [183] = {.lex_state = 1}, + [184] = {.lex_state = 1}, + [185] = {.lex_state = 1}, + [186] = {.lex_state = 1}, + [187] = {.lex_state = 1}, + [188] = {.lex_state = 1}, + [189] = {.lex_state = 1}, + [190] = {.lex_state = 1}, + [191] = {.lex_state = 1}, + [192] = {.lex_state = 1}, + [193] = {.lex_state = 1}, + [194] = {.lex_state = 65}, + [195] = {.lex_state = 65}, + [196] = {.lex_state = 1}, + [197] = {.lex_state = 1}, + [198] = {.lex_state = 65}, + [199] = {.lex_state = 27}, + [200] = {.lex_state = 1}, + [201] = {.lex_state = 65}, + [202] = {.lex_state = 65}, + [203] = {.lex_state = 65}, + [204] = {.lex_state = 65}, + [205] = {.lex_state = 65}, + [206] = {.lex_state = 65}, + [207] = {.lex_state = 65}, + [208] = {.lex_state = 65}, + [209] = {.lex_state = 1}, + [210] = {.lex_state = 1}, + [211] = {.lex_state = 1}, + [212] = {.lex_state = 1}, + [213] = {.lex_state = 1}, + [214] = {.lex_state = 1}, + [215] = {.lex_state = 1}, + [216] = {.lex_state = 1}, + [217] = {.lex_state = 1}, + [218] = {.lex_state = 1}, + [219] = {.lex_state = 1}, + [220] = {.lex_state = 1}, + [221] = {.lex_state = 1}, + [222] = {.lex_state = 1}, + [223] = {.lex_state = 1}, + [224] = {.lex_state = 1}, + [225] = {.lex_state = 1}, + [226] = {.lex_state = 1}, + [227] = {.lex_state = 1}, + [228] = {.lex_state = 65}, + [229] = {.lex_state = 1}, + [230] = {.lex_state = 1}, + [231] = {.lex_state = 1}, + [232] = {.lex_state = 1}, + [233] = {.lex_state = 1}, + [234] = {.lex_state = 1}, + [235] = {.lex_state = 65}, + [236] = {.lex_state = 1}, + [237] = {.lex_state = 1}, + [238] = {.lex_state = 1}, + [239] = {.lex_state = 1}, + [240] = {.lex_state = 1}, + [241] = {.lex_state = 65}, + [242] = {.lex_state = 65}, + [243] = {.lex_state = 65}, + [244] = {.lex_state = 65}, + [245] = {.lex_state = 65}, + [246] = {.lex_state = 65}, + [247] = {.lex_state = 65}, + [248] = {.lex_state = 65}, + [249] = {.lex_state = 65}, + [250] = {.lex_state = 65}, + [251] = {.lex_state = 65}, + [252] = {.lex_state = 65}, + [253] = {.lex_state = 65}, + [254] = {.lex_state = 65}, + [255] = {.lex_state = 65}, + [256] = {.lex_state = 65}, + [257] = {.lex_state = 65}, + [258] = {.lex_state = 65}, + [259] = {.lex_state = 65}, + [260] = {.lex_state = 65}, + [261] = {.lex_state = 65}, + [262] = {.lex_state = 65}, + [263] = {.lex_state = 65}, + [264] = {.lex_state = 65}, + [265] = {.lex_state = 65}, + [266] = {.lex_state = 65}, + [267] = {.lex_state = 65}, + [268] = {.lex_state = 65}, + [269] = {.lex_state = 65}, + [270] = {.lex_state = 65}, + [271] = {.lex_state = 65}, + [272] = {.lex_state = 65}, + [273] = {.lex_state = 65}, + [274] = {.lex_state = 65}, + [275] = {.lex_state = 65}, + [276] = {.lex_state = 65}, + [277] = {.lex_state = 65}, + [278] = {.lex_state = 65}, + [279] = {.lex_state = 65}, + [280] = {.lex_state = 65}, + [281] = {.lex_state = 65}, + [282] = {.lex_state = 65}, + [283] = {.lex_state = 65}, + [284] = {.lex_state = 65}, + [285] = {.lex_state = 65}, + [286] = {.lex_state = 65}, + [287] = {.lex_state = 65}, + [288] = {.lex_state = 65}, + [289] = {.lex_state = 65}, + [290] = {.lex_state = 65}, + [291] = {.lex_state = 65}, + [292] = {.lex_state = 65}, + [293] = {.lex_state = 65}, + [294] = {.lex_state = 65}, + [295] = {.lex_state = 65}, + [296] = {.lex_state = 65}, + [297] = {.lex_state = 65}, + [298] = {.lex_state = 65}, + [299] = {.lex_state = 65}, + [300] = {.lex_state = 65}, + [301] = {.lex_state = 65}, + [302] = {.lex_state = 65}, + [303] = {.lex_state = 65}, + [304] = {.lex_state = 65}, + [305] = {.lex_state = 65}, + [306] = {.lex_state = 65}, + [307] = {.lex_state = 1}, + [308] = {.lex_state = 65}, + [309] = {.lex_state = 65}, + [310] = {.lex_state = 65}, + [311] = {.lex_state = 65}, + [312] = {.lex_state = 65}, + [313] = {.lex_state = 65}, + [314] = {.lex_state = 65}, + [315] = {.lex_state = 65}, + [316] = {.lex_state = 65}, + [317] = {.lex_state = 65}, + [318] = {.lex_state = 65}, + [319] = {.lex_state = 65}, + [320] = {.lex_state = 65}, + [321] = {.lex_state = 65}, + [322] = {.lex_state = 65}, + [323] = {.lex_state = 65}, + [324] = {.lex_state = 65}, + [325] = {.lex_state = 1}, + [326] = {.lex_state = 1}, + [327] = {.lex_state = 65}, + [328] = {.lex_state = 1}, + [329] = {.lex_state = 65}, + [330] = {.lex_state = 65}, + [331] = {.lex_state = 1}, + [332] = {.lex_state = 1}, + [333] = {.lex_state = 65}, + [334] = {.lex_state = 65}, + [335] = {.lex_state = 1}, + [336] = {.lex_state = 65}, + [337] = {.lex_state = 65}, + [338] = {.lex_state = 65}, + [339] = {.lex_state = 65}, + [340] = {.lex_state = 65}, + [341] = {.lex_state = 65}, + [342] = {.lex_state = 65}, + [343] = {.lex_state = 65}, + [344] = {.lex_state = 65}, + [345] = {.lex_state = 65}, + [346] = {.lex_state = 65}, + [347] = {.lex_state = 65}, + [348] = {.lex_state = 65}, + [349] = {.lex_state = 65}, + [350] = {.lex_state = 65}, + [351] = {.lex_state = 65}, + [352] = {.lex_state = 65}, + [353] = {.lex_state = 65}, + [354] = {.lex_state = 65}, + [355] = {.lex_state = 65}, + [356] = {.lex_state = 65}, + [357] = {.lex_state = 65}, + [358] = {.lex_state = 65}, + [359] = {.lex_state = 65}, + [360] = {.lex_state = 65}, + [361] = {.lex_state = 65}, + [362] = {.lex_state = 65}, + [363] = {.lex_state = 1}, + [364] = {.lex_state = 65}, + [365] = {.lex_state = 65}, + [366] = {.lex_state = 65}, + [367] = {.lex_state = 65}, + [368] = {.lex_state = 65}, + [369] = {.lex_state = 65}, + [370] = {.lex_state = 65}, + [371] = {.lex_state = 65}, + [372] = {.lex_state = 65}, + [373] = {.lex_state = 65}, + [374] = {.lex_state = 65}, + [375] = {.lex_state = 1}, + [376] = {.lex_state = 1}, + [377] = {.lex_state = 1}, + [378] = {.lex_state = 1}, + [379] = {.lex_state = 1}, + [380] = {.lex_state = 1}, + [381] = {.lex_state = 65}, + [382] = {.lex_state = 1}, + [383] = {.lex_state = 1}, + [384] = {.lex_state = 65}, + [385] = {.lex_state = 1}, + [386] = {.lex_state = 1}, + [387] = {.lex_state = 1}, + [388] = {.lex_state = 1}, + [389] = {.lex_state = 1}, + [390] = {.lex_state = 1}, + [391] = {.lex_state = 1}, + [392] = {.lex_state = 1}, + [393] = {.lex_state = 1}, + [394] = {.lex_state = 1}, + [395] = {.lex_state = 1}, + [396] = {.lex_state = 1}, + [397] = {.lex_state = 1}, + [398] = {.lex_state = 1}, + [399] = {.lex_state = 1}, + [400] = {.lex_state = 1}, + [401] = {.lex_state = 1}, + [402] = {.lex_state = 1}, + [403] = {.lex_state = 1}, + [404] = {.lex_state = 1}, + [405] = {.lex_state = 1}, + [406] = {.lex_state = 1}, + [407] = {.lex_state = 1}, + [408] = {.lex_state = 1}, + [409] = {.lex_state = 1}, + [410] = {.lex_state = 1}, + [411] = {.lex_state = 1}, + [412] = {.lex_state = 1}, + [413] = {.lex_state = 1}, + [414] = {.lex_state = 1}, + [415] = {.lex_state = 1}, + [416] = {.lex_state = 1}, + [417] = {.lex_state = 1}, + [418] = {.lex_state = 1}, + [419] = {.lex_state = 1}, + [420] = {.lex_state = 1}, + [421] = {.lex_state = 1}, + [422] = {.lex_state = 1}, + [423] = {.lex_state = 1}, + [424] = {.lex_state = 1}, + [425] = {.lex_state = 1}, + [426] = {.lex_state = 1}, + [427] = {.lex_state = 1}, + [428] = {.lex_state = 1}, + [429] = {.lex_state = 1}, + [430] = {.lex_state = 1}, + [431] = {.lex_state = 1}, + [432] = {.lex_state = 1}, + [433] = {.lex_state = 1}, + [434] = {.lex_state = 1}, + [435] = {.lex_state = 1}, + [436] = {.lex_state = 1}, + [437] = {.lex_state = 1}, + [438] = {.lex_state = 1}, + [439] = {.lex_state = 1}, + [440] = {.lex_state = 1}, + [441] = {.lex_state = 1}, + [442] = {.lex_state = 1}, + [443] = {.lex_state = 1}, + [444] = {.lex_state = 1}, + [445] = {.lex_state = 1}, + [446] = {.lex_state = 1}, + [447] = {.lex_state = 1}, + [448] = {.lex_state = 1}, + [449] = {.lex_state = 1}, + [450] = {.lex_state = 65}, + [451] = {.lex_state = 1}, + [452] = {.lex_state = 1}, + [453] = {.lex_state = 1}, + [454] = {.lex_state = 1}, + [455] = {.lex_state = 1}, + [456] = {.lex_state = 1}, + [457] = {.lex_state = 1}, + [458] = {.lex_state = 1}, + [459] = {.lex_state = 36}, + [460] = {.lex_state = 1}, + [461] = {.lex_state = 1}, + [462] = {.lex_state = 1}, + [463] = {.lex_state = 1}, + [464] = {.lex_state = 1}, + [465] = {.lex_state = 1}, + [466] = {.lex_state = 36}, + [467] = {.lex_state = 1}, + [468] = {.lex_state = 1}, + [469] = {.lex_state = 36}, + [470] = {.lex_state = 1}, + [471] = {.lex_state = 1}, + [472] = {.lex_state = 1}, + [473] = {.lex_state = 1}, + [474] = {.lex_state = 1}, + [475] = {.lex_state = 1}, + [476] = {.lex_state = 1}, + [477] = {.lex_state = 1}, + [478] = {.lex_state = 1}, + [479] = {.lex_state = 1}, + [480] = {.lex_state = 1}, + [481] = {.lex_state = 1}, + [482] = {.lex_state = 1}, + [483] = {.lex_state = 1}, + [484] = {.lex_state = 1}, + [485] = {.lex_state = 1}, + [486] = {.lex_state = 1}, + [487] = {.lex_state = 1}, + [488] = {.lex_state = 65}, + [489] = {.lex_state = 1}, + [490] = {.lex_state = 1}, + [491] = {.lex_state = 1}, + [492] = {.lex_state = 1}, + [493] = {.lex_state = 1}, + [494] = {.lex_state = 1}, + [495] = {.lex_state = 1}, + [496] = {.lex_state = 1}, + [497] = {.lex_state = 1}, + [498] = {.lex_state = 1}, + [499] = {.lex_state = 1}, + [500] = {.lex_state = 1}, + [501] = {.lex_state = 1}, + [502] = {.lex_state = 1}, + [503] = {.lex_state = 1}, + [504] = {.lex_state = 1}, + [505] = {.lex_state = 19}, + [506] = {.lex_state = 1}, + [507] = {.lex_state = 1}, + [508] = {.lex_state = 1}, + [509] = {.lex_state = 19}, + [510] = {.lex_state = 1}, + [511] = {.lex_state = 19}, + [512] = {.lex_state = 36}, + [513] = {.lex_state = 1}, + [514] = {.lex_state = 1}, + [515] = {.lex_state = 1}, + [516] = {.lex_state = 1}, + [517] = {.lex_state = 1}, + [518] = {.lex_state = 1}, + [519] = {.lex_state = 1}, + [520] = {.lex_state = 1}, + [521] = {.lex_state = 1}, + [522] = {.lex_state = 1}, + [523] = {.lex_state = 1}, + [524] = {.lex_state = 19}, + [525] = {.lex_state = 1}, + [526] = {.lex_state = 19}, + [527] = {.lex_state = 36}, + [528] = {.lex_state = 1}, + [529] = {.lex_state = 1}, + [530] = {.lex_state = 1}, + [531] = {.lex_state = 19}, + [532] = {.lex_state = 1}, + [533] = {.lex_state = 65}, + [534] = {.lex_state = 1}, + [535] = {.lex_state = 1}, + [536] = {.lex_state = 1}, + [537] = {.lex_state = 65}, + [538] = {.lex_state = 1}, + [539] = {.lex_state = 36}, + [540] = {.lex_state = 1}, + [541] = {.lex_state = 36}, + [542] = {.lex_state = 1}, + [543] = {.lex_state = 1}, + [544] = {.lex_state = 1}, + [545] = {.lex_state = 1}, + [546] = {.lex_state = 65}, + [547] = {.lex_state = 1}, + [548] = {.lex_state = 1}, + [549] = {.lex_state = 1}, + [550] = {.lex_state = 1}, + [551] = {.lex_state = 1}, + [552] = {.lex_state = 1}, + [553] = {.lex_state = 1}, + [554] = {.lex_state = 1}, + [555] = {.lex_state = 36}, + [556] = {.lex_state = 1}, + [557] = {.lex_state = 1}, + [558] = {.lex_state = 1}, + [559] = {.lex_state = 1}, + [560] = {.lex_state = 1}, + [561] = {.lex_state = 1}, + [562] = {.lex_state = 1}, + [563] = {.lex_state = 1}, + [564] = {.lex_state = 1}, + [565] = {.lex_state = 1}, + [566] = {.lex_state = 1}, + [567] = {.lex_state = 1}, + [568] = {.lex_state = 1}, + [569] = {.lex_state = 1}, + [570] = {.lex_state = 1}, + [571] = {.lex_state = 1}, + [572] = {.lex_state = 36}, + [573] = {.lex_state = 36}, + [574] = {.lex_state = 36}, + [575] = {.lex_state = 65}, + [576] = {.lex_state = 1}, + [577] = {.lex_state = 22}, + [578] = {.lex_state = 36}, + [579] = {.lex_state = 1}, + [580] = {.lex_state = 1}, + [581] = {.lex_state = 1}, + [582] = {.lex_state = 1}, + [583] = {.lex_state = 1}, + [584] = {.lex_state = 1}, + [585] = {.lex_state = 1}, + [586] = {.lex_state = 36}, + [587] = {.lex_state = 1}, + [588] = {.lex_state = 1}, + [589] = {.lex_state = 36}, + [590] = {.lex_state = 1}, + [591] = {.lex_state = 1}, + [592] = {.lex_state = 36}, + [593] = {.lex_state = 1}, + [594] = {.lex_state = 1}, + [595] = {.lex_state = 1}, + [596] = {.lex_state = 1}, + [597] = {.lex_state = 36}, + [598] = {.lex_state = 1}, + [599] = {.lex_state = 1}, + [600] = {.lex_state = 1}, + [601] = {.lex_state = 1}, + [602] = {.lex_state = 1}, + [603] = {.lex_state = 1}, + [604] = {.lex_state = 1}, + [605] = {.lex_state = 1}, + [606] = {.lex_state = 1}, + [607] = {.lex_state = 36}, + [608] = {.lex_state = 36}, + [609] = {.lex_state = 36}, + [610] = {.lex_state = 1}, + [611] = {.lex_state = 36}, + [612] = {.lex_state = 36}, + [613] = {.lex_state = 1}, + [614] = {.lex_state = 36}, + [615] = {.lex_state = 36}, + [616] = {.lex_state = 36}, + [617] = {.lex_state = 36}, + [618] = {.lex_state = 1}, + [619] = {.lex_state = 1}, + [620] = {.lex_state = 19}, + [621] = {.lex_state = 36}, + [622] = {.lex_state = 36}, + [623] = {.lex_state = 36}, + [624] = {.lex_state = 1}, + [625] = {.lex_state = 1}, + [626] = {.lex_state = 36}, + [627] = {.lex_state = 1}, + [628] = {.lex_state = 1}, + [629] = {.lex_state = 1}, + [630] = {.lex_state = 1}, + [631] = {.lex_state = 36}, + [632] = {.lex_state = 1}, + [633] = {.lex_state = 1}, + [634] = {.lex_state = 19}, + [635] = {.lex_state = 36}, + [636] = {.lex_state = 1}, + [637] = {.lex_state = 1}, + [638] = {.lex_state = 36}, + [639] = {.lex_state = 36}, + [640] = {.lex_state = 1}, + [641] = {.lex_state = 1}, + [642] = {.lex_state = 1}, + [643] = {.lex_state = 1}, + [644] = {.lex_state = 65}, + [645] = {.lex_state = 1}, + [646] = {.lex_state = 1}, + [647] = {.lex_state = 1}, + [648] = {.lex_state = 36}, + [649] = {.lex_state = 36}, + [650] = {.lex_state = 1}, + [651] = {.lex_state = 1}, + [652] = {.lex_state = 1}, + [653] = {.lex_state = 1}, + [654] = {.lex_state = 1}, + [655] = {.lex_state = 1}, + [656] = {.lex_state = 1}, + [657] = {.lex_state = 1}, + [658] = {.lex_state = 1}, + [659] = {.lex_state = 1}, + [660] = {.lex_state = 1}, + [661] = {.lex_state = 1}, + [662] = {.lex_state = 1}, + [663] = {.lex_state = 1}, + [664] = {.lex_state = 1}, + [665] = {.lex_state = 1}, + [666] = {.lex_state = 1}, + [667] = {.lex_state = 1}, + [668] = {.lex_state = 1}, + [669] = {.lex_state = 1}, + [670] = {.lex_state = 1}, + [671] = {.lex_state = 1}, + [672] = {.lex_state = 1}, + [673] = {.lex_state = 1}, + [674] = {.lex_state = 1}, + [675] = {.lex_state = 1}, + [676] = {.lex_state = 1}, + [677] = {.lex_state = 1}, + [678] = {.lex_state = 1}, + [679] = {.lex_state = 1}, + [680] = {.lex_state = 1}, + [681] = {.lex_state = 36}, + [682] = {.lex_state = 1}, + [683] = {.lex_state = 1}, + [684] = {.lex_state = 36}, + [685] = {.lex_state = 36}, + [686] = {.lex_state = 36}, + [687] = {.lex_state = 1}, + [688] = {.lex_state = 1}, + [689] = {.lex_state = 1}, + [690] = {.lex_state = 1}, + [691] = {.lex_state = 36}, + [692] = {.lex_state = 1}, + [693] = {.lex_state = 1}, + [694] = {.lex_state = 1}, + [695] = {.lex_state = 1}, + [696] = {.lex_state = 1}, + [697] = {.lex_state = 1}, + [698] = {.lex_state = 1}, + [699] = {.lex_state = 1}, + [700] = {.lex_state = 1}, + [701] = {.lex_state = 1}, + [702] = {.lex_state = 1}, + [703] = {.lex_state = 1}, + [704] = {.lex_state = 1}, + [705] = {.lex_state = 1}, + [706] = {.lex_state = 1}, + [707] = {.lex_state = 1}, + [708] = {.lex_state = 1}, + [709] = {.lex_state = 1}, + [710] = {.lex_state = 1}, + [711] = {.lex_state = 1}, + [712] = {.lex_state = 1}, + [713] = {.lex_state = 1}, + [714] = {.lex_state = 1}, + [715] = {.lex_state = 1}, + [716] = {.lex_state = 1}, + [717] = {.lex_state = 1}, + [718] = {.lex_state = 1}, + [719] = {.lex_state = 1}, + [720] = {.lex_state = 1}, + [721] = {.lex_state = 1}, + [722] = {.lex_state = 1}, + [723] = {.lex_state = 1}, + [724] = {.lex_state = 1}, + [725] = {.lex_state = 1}, + [726] = {.lex_state = 1}, + [727] = {.lex_state = 1}, + [728] = {.lex_state = 1}, + [729] = {.lex_state = 1}, + [730] = {.lex_state = 1}, + [731] = {.lex_state = 1}, + [732] = {.lex_state = 1}, + [733] = {.lex_state = 1}, + [734] = {.lex_state = 1}, + [735] = {.lex_state = 1}, + [736] = {.lex_state = 1}, + [737] = {.lex_state = 1}, + [738] = {.lex_state = 36}, + [739] = {.lex_state = 1}, + [740] = {.lex_state = 1}, + [741] = {.lex_state = 1}, + [742] = {.lex_state = 1}, + [743] = {.lex_state = 1}, + [744] = {.lex_state = 1}, + [745] = {.lex_state = 1}, + [746] = {.lex_state = 1}, + [747] = {.lex_state = 1}, + [748] = {.lex_state = 1}, + [749] = {.lex_state = 1}, + [750] = {.lex_state = 1}, + [751] = {.lex_state = 1}, + [752] = {.lex_state = 1}, + [753] = {.lex_state = 1}, + [754] = {.lex_state = 1}, + [755] = {.lex_state = 1}, + [756] = {.lex_state = 1}, + [757] = {.lex_state = 1}, + [758] = {.lex_state = 19}, + [759] = {.lex_state = 1}, + [760] = {.lex_state = 1}, + [761] = {.lex_state = 1}, + [762] = {.lex_state = 1}, + [763] = {.lex_state = 1}, + [764] = {.lex_state = 1}, + [765] = {.lex_state = 1}, + [766] = {.lex_state = 1}, + [767] = {.lex_state = 1}, + [768] = {.lex_state = 1}, + [769] = {.lex_state = 1}, + [770] = {.lex_state = 1}, + [771] = {.lex_state = 1}, + [772] = {.lex_state = 1}, + [773] = {.lex_state = 1}, + [774] = {.lex_state = 1}, + [775] = {.lex_state = 1}, + [776] = {.lex_state = 1}, + [777] = {.lex_state = 1}, + [778] = {.lex_state = 1}, + [779] = {.lex_state = 1}, + [780] = {.lex_state = 1}, + [781] = {.lex_state = 1}, + [782] = {.lex_state = 1}, + [783] = {.lex_state = 1}, + [784] = {.lex_state = 1}, + [785] = {.lex_state = 1}, + [786] = {.lex_state = 1}, + [787] = {.lex_state = 1}, + [788] = {.lex_state = 1}, + [789] = {.lex_state = 1}, + [790] = {.lex_state = 1}, + [791] = {.lex_state = 1}, + [792] = {.lex_state = 1}, + [793] = {.lex_state = 1}, + [794] = {.lex_state = 1}, + [795] = {.lex_state = 1}, + [796] = {.lex_state = 1}, + [797] = {.lex_state = 1}, + [798] = {.lex_state = 1}, + [799] = {.lex_state = 1}, + [800] = {.lex_state = 1}, + [801] = {.lex_state = 1}, + [802] = {.lex_state = 1}, + [803] = {.lex_state = 1}, + [804] = {.lex_state = 1}, + [805] = {.lex_state = 1}, + [806] = {.lex_state = 1}, + [807] = {.lex_state = 1}, + [808] = {.lex_state = 1}, + [809] = {.lex_state = 1}, + [810] = {.lex_state = 1}, + [811] = {.lex_state = 1}, + [812] = {.lex_state = 1}, + [813] = {.lex_state = 1}, + [814] = {.lex_state = 1}, + [815] = {.lex_state = 1}, + [816] = {.lex_state = 1}, + [817] = {.lex_state = 1}, + [818] = {.lex_state = 1}, + [819] = {.lex_state = 1}, + [820] = {.lex_state = 1}, + [821] = {.lex_state = 1}, + [822] = {.lex_state = 1}, + [823] = {.lex_state = 1}, + [824] = {.lex_state = 1}, + [825] = {.lex_state = 1}, + [826] = {.lex_state = 1}, + [827] = {.lex_state = 1}, + [828] = {.lex_state = 1}, + [829] = {.lex_state = 1}, + [830] = {.lex_state = 1}, + [831] = {.lex_state = 1}, + [832] = {.lex_state = 1}, + [833] = {.lex_state = 1}, + [834] = {.lex_state = 1}, + [835] = {.lex_state = 1}, + [836] = {.lex_state = 1}, + [837] = {.lex_state = 1}, + [838] = {.lex_state = 1}, + [839] = {.lex_state = 1}, + [840] = {.lex_state = 1}, + [841] = {.lex_state = 1}, + [842] = {.lex_state = 1}, + [843] = {.lex_state = 1}, + [844] = {.lex_state = 1}, + [845] = {.lex_state = 1}, + [846] = {.lex_state = 1}, + [847] = {.lex_state = 1}, + [848] = {.lex_state = 1}, + [849] = {.lex_state = 1}, + [850] = {.lex_state = 1}, + [851] = {.lex_state = 1}, + [852] = {.lex_state = 1}, + [853] = {.lex_state = 1}, + [854] = {.lex_state = 1}, + [855] = {.lex_state = 1}, + [856] = {.lex_state = 1}, + [857] = {.lex_state = 1}, + [858] = {.lex_state = 1}, + [859] = {.lex_state = 1}, + [860] = {.lex_state = 1}, + [861] = {.lex_state = 1}, + [862] = {.lex_state = 1}, + [863] = {.lex_state = 1}, + [864] = {.lex_state = 1}, + [865] = {.lex_state = 1}, + [866] = {.lex_state = 1}, + [867] = {.lex_state = 1}, + [868] = {.lex_state = 1}, + [869] = {.lex_state = 1}, + [870] = {.lex_state = 1}, + [871] = {.lex_state = 1}, + [872] = {.lex_state = 1}, + [873] = {.lex_state = 1}, + [874] = {.lex_state = 1}, + [875] = {.lex_state = 1}, + [876] = {.lex_state = 1}, + [877] = {.lex_state = 1}, + [878] = {.lex_state = 1}, + [879] = {.lex_state = 1}, + [880] = {.lex_state = 1}, + [881] = {.lex_state = 1}, + [882] = {.lex_state = 1}, + [883] = {.lex_state = 1}, + [884] = {.lex_state = 1}, + [885] = {.lex_state = 1}, + [886] = {.lex_state = 1}, + [887] = {.lex_state = 1}, + [888] = {.lex_state = 36}, + [889] = {.lex_state = 1}, + [890] = {.lex_state = 1}, + [891] = {.lex_state = 1}, + [892] = {.lex_state = 1}, + [893] = {.lex_state = 1}, + [894] = {.lex_state = 1}, + [895] = {.lex_state = 1}, + [896] = {.lex_state = 1}, + [897] = {.lex_state = 1}, + [898] = {.lex_state = 1}, + [899] = {.lex_state = 1}, + [900] = {.lex_state = 1}, + [901] = {.lex_state = 1}, + [902] = {.lex_state = 1}, + [903] = {.lex_state = 1}, + [904] = {.lex_state = 1}, + [905] = {.lex_state = 1}, + [906] = {.lex_state = 1}, + [907] = {.lex_state = 1}, + [908] = {.lex_state = 1}, + [909] = {.lex_state = 1}, + [910] = {.lex_state = 1}, + [911] = {.lex_state = 1}, + [912] = {.lex_state = 1}, + [913] = {.lex_state = 1}, + [914] = {.lex_state = 1}, + [915] = {.lex_state = 1}, + [916] = {.lex_state = 1}, + [917] = {.lex_state = 1}, + [918] = {.lex_state = 1}, + [919] = {.lex_state = 1}, + [920] = {.lex_state = 1}, + [921] = {.lex_state = 1}, + [922] = {.lex_state = 1}, + [923] = {.lex_state = 1}, + [924] = {.lex_state = 1}, + [925] = {.lex_state = 1}, + [926] = {.lex_state = 1}, + [927] = {.lex_state = 1}, + [928] = {.lex_state = 1}, + [929] = {.lex_state = 1}, + [930] = {.lex_state = 1}, + [931] = {.lex_state = 1}, + [932] = {.lex_state = 36}, + [933] = {.lex_state = 1}, + [934] = {.lex_state = 1}, + [935] = {.lex_state = 1}, + [936] = {.lex_state = 1}, + [937] = {.lex_state = 1}, + [938] = {.lex_state = 1}, + [939] = {.lex_state = 1}, + [940] = {.lex_state = 1}, + [941] = {.lex_state = 1}, + [942] = {.lex_state = 1}, + [943] = {.lex_state = 1}, + [944] = {.lex_state = 1}, + [945] = {.lex_state = 1}, + [946] = {.lex_state = 1}, + [947] = {.lex_state = 1}, + [948] = {.lex_state = 1}, + [949] = {.lex_state = 1}, + [950] = {.lex_state = 1}, + [951] = {.lex_state = 1}, + [952] = {.lex_state = 1}, + [953] = {.lex_state = 1}, + [954] = {.lex_state = 1}, + [955] = {.lex_state = 1}, + [956] = {.lex_state = 1}, + [957] = {.lex_state = 1}, + [958] = {.lex_state = 1}, + [959] = {.lex_state = 1}, + [960] = {.lex_state = 1}, + [961] = {.lex_state = 1}, + [962] = {.lex_state = 1}, + [963] = {.lex_state = 1}, + [964] = {.lex_state = 1}, + [965] = {.lex_state = 1}, + [966] = {.lex_state = 1}, + [967] = {.lex_state = 1}, + [968] = {.lex_state = 1}, + [969] = {.lex_state = 1}, + [970] = {.lex_state = 1}, + [971] = {.lex_state = 65}, + [972] = {.lex_state = 19}, + [973] = {.lex_state = 65}, + [974] = {.lex_state = 36}, + [975] = {.lex_state = 36}, + [976] = {.lex_state = 65}, + [977] = {.lex_state = 65}, + [978] = {.lex_state = 65}, + [979] = {.lex_state = 16}, + [980] = {.lex_state = 16}, + [981] = {.lex_state = 36}, + [982] = {.lex_state = 65}, + [983] = {.lex_state = 65}, + [984] = {.lex_state = 65}, + [985] = {.lex_state = 65}, + [986] = {.lex_state = 65}, + [987] = {.lex_state = 65}, + [988] = {.lex_state = 65}, + [989] = {.lex_state = 65}, + [990] = {.lex_state = 65}, + [991] = {.lex_state = 65}, + [992] = {.lex_state = 65}, + [993] = {.lex_state = 65}, + [994] = {.lex_state = 65}, + [995] = {.lex_state = 65}, + [996] = {.lex_state = 65}, + [997] = {.lex_state = 65}, + [998] = {.lex_state = 65}, + [999] = {.lex_state = 65}, + [1000] = {.lex_state = 65}, + [1001] = {.lex_state = 65}, + [1002] = {.lex_state = 65}, + [1003] = {.lex_state = 65}, + [1004] = {.lex_state = 65}, + [1005] = {.lex_state = 65}, + [1006] = {.lex_state = 65}, + [1007] = {.lex_state = 65}, + [1008] = {.lex_state = 65}, + [1009] = {.lex_state = 65}, + [1010] = {.lex_state = 65}, + [1011] = {.lex_state = 65}, + [1012] = {.lex_state = 65}, + [1013] = {.lex_state = 65}, + [1014] = {.lex_state = 65}, + [1015] = {.lex_state = 65}, + [1016] = {.lex_state = 65}, + [1017] = {.lex_state = 65}, + [1018] = {.lex_state = 65}, + [1019] = {.lex_state = 65}, + [1020] = {.lex_state = 65}, + [1021] = {.lex_state = 65}, + [1022] = {.lex_state = 65}, + [1023] = {.lex_state = 65}, + [1024] = {.lex_state = 65}, + [1025] = {.lex_state = 65}, + [1026] = {.lex_state = 65}, + [1027] = {.lex_state = 65}, + [1028] = {.lex_state = 65}, + [1029] = {.lex_state = 65}, + [1030] = {.lex_state = 65}, + [1031] = {.lex_state = 65}, + [1032] = {.lex_state = 65}, + [1033] = {.lex_state = 65}, + [1034] = {.lex_state = 65}, + [1035] = {.lex_state = 65}, + [1036] = {.lex_state = 65}, + [1037] = {.lex_state = 65}, + [1038] = {.lex_state = 65}, + [1039] = {.lex_state = 65}, + [1040] = {.lex_state = 65}, + [1041] = {.lex_state = 65}, + [1042] = {.lex_state = 65}, + [1043] = {.lex_state = 65}, + [1044] = {.lex_state = 65}, + [1045] = {.lex_state = 65}, + [1046] = {.lex_state = 65}, + [1047] = {.lex_state = 65}, + [1048] = {.lex_state = 65}, + [1049] = {.lex_state = 65}, + [1050] = {.lex_state = 65}, + [1051] = {.lex_state = 65}, + [1052] = {.lex_state = 65}, + [1053] = {.lex_state = 65}, + [1054] = {.lex_state = 65}, + [1055] = {.lex_state = 65}, + [1056] = {.lex_state = 65}, + [1057] = {.lex_state = 65}, + [1058] = {.lex_state = 65}, + [1059] = {.lex_state = 65}, + [1060] = {.lex_state = 65}, + [1061] = {.lex_state = 65}, + [1062] = {.lex_state = 65}, + [1063] = {.lex_state = 65}, + [1064] = {.lex_state = 65}, + [1065] = {.lex_state = 65}, + [1066] = {.lex_state = 65}, + [1067] = {.lex_state = 65}, + [1068] = {.lex_state = 65}, + [1069] = {.lex_state = 65}, + [1070] = {.lex_state = 65}, + [1071] = {.lex_state = 65}, + [1072] = {.lex_state = 65}, + [1073] = {.lex_state = 65}, + [1074] = {.lex_state = 65}, + [1075] = {.lex_state = 65}, + [1076] = {.lex_state = 65}, + [1077] = {.lex_state = 65}, + [1078] = {.lex_state = 65}, + [1079] = {.lex_state = 65}, + [1080] = {.lex_state = 5}, + [1081] = {.lex_state = 65}, + [1082] = {.lex_state = 65}, + [1083] = {.lex_state = 65}, + [1084] = {.lex_state = 65}, + [1085] = {.lex_state = 65}, + [1086] = {.lex_state = 65}, + [1087] = {.lex_state = 65}, + [1088] = {.lex_state = 65}, + [1089] = {.lex_state = 65}, + [1090] = {.lex_state = 65}, + [1091] = {.lex_state = 65}, + [1092] = {.lex_state = 65}, + [1093] = {.lex_state = 65}, + [1094] = {.lex_state = 65}, + [1095] = {.lex_state = 65}, + [1096] = {.lex_state = 65}, + [1097] = {.lex_state = 65}, + [1098] = {.lex_state = 65}, + [1099] = {.lex_state = 65}, + [1100] = {.lex_state = 65}, + [1101] = {.lex_state = 65}, + [1102] = {.lex_state = 65}, + [1103] = {.lex_state = 65}, + [1104] = {.lex_state = 65}, + [1105] = {.lex_state = 65}, + [1106] = {.lex_state = 65}, + [1107] = {.lex_state = 65}, + [1108] = {.lex_state = 65}, + [1109] = {.lex_state = 65}, + [1110] = {.lex_state = 65}, + [1111] = {.lex_state = 65}, + [1112] = {.lex_state = 65}, + [1113] = {.lex_state = 65}, + [1114] = {.lex_state = 65}, + [1115] = {.lex_state = 65}, + [1116] = {.lex_state = 65}, + [1117] = {.lex_state = 65}, + [1118] = {.lex_state = 65}, + [1119] = {.lex_state = 65}, + [1120] = {.lex_state = 65}, + [1121] = {.lex_state = 65}, + [1122] = {.lex_state = 65}, + [1123] = {.lex_state = 65}, + [1124] = {.lex_state = 65}, + [1125] = {.lex_state = 65}, + [1126] = {.lex_state = 65}, + [1127] = {.lex_state = 65}, + [1128] = {.lex_state = 65}, + [1129] = {.lex_state = 65}, + [1130] = {.lex_state = 65}, + [1131] = {.lex_state = 65}, + [1132] = {.lex_state = 65}, + [1133] = {.lex_state = 65}, + [1134] = {.lex_state = 65}, + [1135] = {.lex_state = 65}, + [1136] = {.lex_state = 65}, + [1137] = {.lex_state = 65}, + [1138] = {.lex_state = 65}, + [1139] = {.lex_state = 65}, + [1140] = {.lex_state = 65}, + [1141] = {.lex_state = 65}, + [1142] = {.lex_state = 65}, + [1143] = {.lex_state = 65}, + [1144] = {.lex_state = 36}, + [1145] = {.lex_state = 36}, + [1146] = {.lex_state = 65}, + [1147] = {.lex_state = 65}, + [1148] = {.lex_state = 65}, + [1149] = {.lex_state = 65}, + [1150] = {.lex_state = 65}, + [1151] = {.lex_state = 65}, + [1152] = {.lex_state = 65}, + [1153] = {.lex_state = 65}, + [1154] = {.lex_state = 65}, + [1155] = {.lex_state = 65}, + [1156] = {.lex_state = 65}, + [1157] = {.lex_state = 65}, + [1158] = {.lex_state = 65}, + [1159] = {.lex_state = 65}, + [1160] = {.lex_state = 65}, + [1161] = {.lex_state = 65}, + [1162] = {.lex_state = 65}, + [1163] = {.lex_state = 65}, + [1164] = {.lex_state = 65}, + [1165] = {.lex_state = 65}, + [1166] = {.lex_state = 65}, + [1167] = {.lex_state = 65}, + [1168] = {.lex_state = 65}, + [1169] = {.lex_state = 65}, + [1170] = {.lex_state = 65}, + [1171] = {.lex_state = 65}, + [1172] = {.lex_state = 65}, + [1173] = {.lex_state = 65}, + [1174] = {.lex_state = 65}, + [1175] = {.lex_state = 65}, + [1176] = {.lex_state = 65}, + [1177] = {.lex_state = 65}, + [1178] = {.lex_state = 65}, + [1179] = {.lex_state = 65}, + [1180] = {.lex_state = 65}, + [1181] = {.lex_state = 65}, + [1182] = {.lex_state = 65}, + [1183] = {.lex_state = 65}, + [1184] = {.lex_state = 65}, + [1185] = {.lex_state = 65}, + [1186] = {.lex_state = 65}, + [1187] = {.lex_state = 65}, + [1188] = {.lex_state = 65}, + [1189] = {.lex_state = 65}, + [1190] = {.lex_state = 65}, + [1191] = {.lex_state = 65}, + [1192] = {.lex_state = 65}, + [1193] = {.lex_state = 65}, + [1194] = {.lex_state = 65}, + [1195] = {.lex_state = 65}, + [1196] = {.lex_state = 65}, + [1197] = {.lex_state = 65}, + [1198] = {.lex_state = 65}, + [1199] = {.lex_state = 65}, + [1200] = {.lex_state = 65}, + [1201] = {.lex_state = 65}, + [1202] = {.lex_state = 65}, + [1203] = {.lex_state = 65}, + [1204] = {.lex_state = 65}, + [1205] = {.lex_state = 65}, + [1206] = {.lex_state = 65}, + [1207] = {.lex_state = 65}, + [1208] = {.lex_state = 65}, + [1209] = {.lex_state = 65}, + [1210] = {.lex_state = 65}, + [1211] = {.lex_state = 65}, + [1212] = {.lex_state = 65}, + [1213] = {.lex_state = 65}, + [1214] = {.lex_state = 65}, + [1215] = {.lex_state = 65}, + [1216] = {.lex_state = 65}, + [1217] = {.lex_state = 36}, + [1218] = {.lex_state = 65}, + [1219] = {.lex_state = 65}, + [1220] = {.lex_state = 65}, + [1221] = {.lex_state = 65}, + [1222] = {.lex_state = 65}, + [1223] = {.lex_state = 65}, + [1224] = {.lex_state = 65}, + [1225] = {.lex_state = 65}, + [1226] = {.lex_state = 65}, + [1227] = {.lex_state = 65}, + [1228] = {.lex_state = 65}, + [1229] = {.lex_state = 65}, + [1230] = {.lex_state = 65}, + [1231] = {.lex_state = 65}, + [1232] = {.lex_state = 65}, + [1233] = {.lex_state = 65}, + [1234] = {.lex_state = 65}, + [1235] = {.lex_state = 65}, + [1236] = {.lex_state = 65}, + [1237] = {.lex_state = 65}, + [1238] = {.lex_state = 36}, + [1239] = {.lex_state = 65}, + [1240] = {.lex_state = 65}, + [1241] = {.lex_state = 65}, + [1242] = {.lex_state = 65}, + [1243] = {.lex_state = 65}, + [1244] = {.lex_state = 65}, + [1245] = {.lex_state = 65}, + [1246] = {.lex_state = 65}, + [1247] = {.lex_state = 65}, + [1248] = {.lex_state = 65}, + [1249] = {.lex_state = 65}, + [1250] = {.lex_state = 65}, + [1251] = {.lex_state = 65}, + [1252] = {.lex_state = 65}, + [1253] = {.lex_state = 65}, + [1254] = {.lex_state = 65}, + [1255] = {.lex_state = 65}, + [1256] = {.lex_state = 65}, + [1257] = {.lex_state = 65}, + [1258] = {.lex_state = 65}, + [1259] = {.lex_state = 65}, + [1260] = {.lex_state = 65}, + [1261] = {.lex_state = 65}, + [1262] = {.lex_state = 65}, + [1263] = {.lex_state = 65}, + [1264] = {.lex_state = 65}, + [1265] = {.lex_state = 65}, + [1266] = {.lex_state = 65}, + [1267] = {.lex_state = 65}, + [1268] = {.lex_state = 65}, + [1269] = {.lex_state = 65}, + [1270] = {.lex_state = 65}, + [1271] = {.lex_state = 65}, + [1272] = {.lex_state = 65}, + [1273] = {.lex_state = 65}, + [1274] = {.lex_state = 65}, + [1275] = {.lex_state = 65}, + [1276] = {.lex_state = 65}, + [1277] = {.lex_state = 65}, + [1278] = {.lex_state = 65}, + [1279] = {.lex_state = 65}, + [1280] = {.lex_state = 65}, + [1281] = {.lex_state = 65}, + [1282] = {.lex_state = 65}, + [1283] = {.lex_state = 65}, + [1284] = {.lex_state = 65}, + [1285] = {.lex_state = 36}, + [1286] = {.lex_state = 65}, + [1287] = {.lex_state = 65}, + [1288] = {.lex_state = 65}, + [1289] = {.lex_state = 36}, + [1290] = {.lex_state = 65}, + [1291] = {.lex_state = 65}, + [1292] = {.lex_state = 65}, + [1293] = {.lex_state = 65}, + [1294] = {.lex_state = 65}, + [1295] = {.lex_state = 65}, + [1296] = {.lex_state = 65}, + [1297] = {.lex_state = 65}, + [1298] = {.lex_state = 65}, + [1299] = {.lex_state = 65}, + [1300] = {.lex_state = 36}, + [1301] = {.lex_state = 65}, + [1302] = {.lex_state = 65}, + [1303] = {.lex_state = 65}, + [1304] = {.lex_state = 65}, + [1305] = {.lex_state = 65}, + [1306] = {.lex_state = 65}, + [1307] = {.lex_state = 65}, + [1308] = {.lex_state = 65}, + [1309] = {.lex_state = 65}, + [1310] = {.lex_state = 65}, + [1311] = {.lex_state = 65}, + [1312] = {.lex_state = 65}, + [1313] = {.lex_state = 65}, + [1314] = {.lex_state = 65}, + [1315] = {.lex_state = 65}, + [1316] = {.lex_state = 65}, + [1317] = {.lex_state = 65}, + [1318] = {.lex_state = 65}, + [1319] = {.lex_state = 65}, + [1320] = {.lex_state = 65}, + [1321] = {.lex_state = 65}, + [1322] = {.lex_state = 65}, + [1323] = {.lex_state = 65}, + [1324] = {.lex_state = 65}, + [1325] = {.lex_state = 65}, + [1326] = {.lex_state = 65}, + [1327] = {.lex_state = 65}, + [1328] = {.lex_state = 65}, + [1329] = {.lex_state = 65}, + [1330] = {.lex_state = 65}, + [1331] = {.lex_state = 65}, + [1332] = {.lex_state = 65}, + [1333] = {.lex_state = 65}, + [1334] = {.lex_state = 65}, + [1335] = {.lex_state = 65}, + [1336] = {.lex_state = 65}, + [1337] = {.lex_state = 65}, + [1338] = {.lex_state = 65}, + [1339] = {.lex_state = 65}, + [1340] = {.lex_state = 65}, + [1341] = {.lex_state = 65}, + [1342] = {.lex_state = 65}, + [1343] = {.lex_state = 65}, + [1344] = {.lex_state = 65}, + [1345] = {.lex_state = 65}, + [1346] = {.lex_state = 65}, + [1347] = {.lex_state = 65}, + [1348] = {.lex_state = 36}, + [1349] = {.lex_state = 65}, + [1350] = {.lex_state = 65}, + [1351] = {.lex_state = 65}, + [1352] = {.lex_state = 65}, + [1353] = {.lex_state = 65}, + [1354] = {.lex_state = 65}, + [1355] = {.lex_state = 65}, + [1356] = {.lex_state = 65}, + [1357] = {.lex_state = 65}, + [1358] = {.lex_state = 65}, + [1359] = {.lex_state = 65}, + [1360] = {.lex_state = 65}, + [1361] = {.lex_state = 65}, + [1362] = {.lex_state = 65}, + [1363] = {.lex_state = 65}, + [1364] = {.lex_state = 65}, + [1365] = {.lex_state = 65}, + [1366] = {.lex_state = 65}, + [1367] = {.lex_state = 65}, + [1368] = {.lex_state = 65}, + [1369] = {.lex_state = 65}, + [1370] = {.lex_state = 65}, + [1371] = {.lex_state = 65}, + [1372] = {.lex_state = 65}, + [1373] = {.lex_state = 65}, + [1374] = {.lex_state = 65}, + [1375] = {.lex_state = 65}, + [1376] = {.lex_state = 65}, + [1377] = {.lex_state = 65}, + [1378] = {.lex_state = 65}, + [1379] = {.lex_state = 65}, + [1380] = {.lex_state = 65}, + [1381] = {.lex_state = 65}, + [1382] = {.lex_state = 65}, + [1383] = {.lex_state = 65}, + [1384] = {.lex_state = 65}, + [1385] = {.lex_state = 65}, + [1386] = {.lex_state = 36}, + [1387] = {.lex_state = 65}, + [1388] = {.lex_state = 65}, + [1389] = {.lex_state = 65}, + [1390] = {.lex_state = 65}, + [1391] = {.lex_state = 36}, + [1392] = {.lex_state = 36}, + [1393] = {.lex_state = 36}, + [1394] = {.lex_state = 65}, + [1395] = {.lex_state = 65}, + [1396] = {.lex_state = 65}, + [1397] = {.lex_state = 65}, + [1398] = {.lex_state = 65}, + [1399] = {.lex_state = 65}, + [1400] = {.lex_state = 65}, + [1401] = {.lex_state = 65}, + [1402] = {.lex_state = 65}, + [1403] = {.lex_state = 65}, + [1404] = {.lex_state = 65}, + [1405] = {.lex_state = 65}, + [1406] = {.lex_state = 65}, + [1407] = {.lex_state = 65}, + [1408] = {.lex_state = 65}, + [1409] = {.lex_state = 65}, + [1410] = {.lex_state = 65}, + [1411] = {.lex_state = 65}, + [1412] = {.lex_state = 65}, + [1413] = {.lex_state = 65}, + [1414] = {.lex_state = 65}, + [1415] = {.lex_state = 65}, + [1416] = {.lex_state = 65}, + [1417] = {.lex_state = 65}, + [1418] = {.lex_state = 65}, + [1419] = {.lex_state = 65}, + [1420] = {.lex_state = 65}, + [1421] = {.lex_state = 65}, + [1422] = {.lex_state = 65}, + [1423] = {.lex_state = 65}, + [1424] = {.lex_state = 65}, + [1425] = {.lex_state = 65}, + [1426] = {.lex_state = 65}, + [1427] = {.lex_state = 65}, + [1428] = {.lex_state = 65}, + [1429] = {.lex_state = 65}, + [1430] = {.lex_state = 65}, + [1431] = {.lex_state = 65}, + [1432] = {.lex_state = 65}, + [1433] = {.lex_state = 65}, + [1434] = {.lex_state = 65}, + [1435] = {.lex_state = 65}, + [1436] = {.lex_state = 65}, + [1437] = {.lex_state = 65}, + [1438] = {.lex_state = 65}, + [1439] = {.lex_state = 65}, + [1440] = {.lex_state = 65}, + [1441] = {.lex_state = 65}, + [1442] = {.lex_state = 65}, + [1443] = {.lex_state = 65}, + [1444] = {.lex_state = 65}, + [1445] = {.lex_state = 65}, + [1446] = {.lex_state = 65}, + [1447] = {.lex_state = 65}, + [1448] = {.lex_state = 65}, + [1449] = {.lex_state = 65}, + [1450] = {.lex_state = 65}, + [1451] = {.lex_state = 65}, + [1452] = {.lex_state = 65}, + [1453] = {.lex_state = 65}, + [1454] = {.lex_state = 65}, + [1455] = {.lex_state = 65}, + [1456] = {.lex_state = 65}, + [1457] = {.lex_state = 65}, + [1458] = {.lex_state = 65}, + [1459] = {.lex_state = 65}, + [1460] = {.lex_state = 65}, + [1461] = {.lex_state = 65}, + [1462] = {.lex_state = 65}, + [1463] = {.lex_state = 65}, + [1464] = {.lex_state = 65}, + [1465] = {.lex_state = 65}, + [1466] = {.lex_state = 65}, + [1467] = {.lex_state = 65}, + [1468] = {.lex_state = 65}, + [1469] = {.lex_state = 65}, + [1470] = {.lex_state = 65}, + [1471] = {.lex_state = 65}, + [1472] = {.lex_state = 65}, + [1473] = {.lex_state = 65}, + [1474] = {.lex_state = 65}, + [1475] = {.lex_state = 65}, + [1476] = {.lex_state = 65}, + [1477] = {.lex_state = 65}, + [1478] = {.lex_state = 65}, + [1479] = {.lex_state = 65}, + [1480] = {.lex_state = 65}, + [1481] = {.lex_state = 65}, + [1482] = {.lex_state = 65}, + [1483] = {.lex_state = 65}, + [1484] = {.lex_state = 65}, + [1485] = {.lex_state = 65}, + [1486] = {.lex_state = 65}, + [1487] = {.lex_state = 65}, + [1488] = {.lex_state = 65}, + [1489] = {.lex_state = 65}, + [1490] = {.lex_state = 65}, + [1491] = {.lex_state = 65}, + [1492] = {.lex_state = 65}, + [1493] = {.lex_state = 65}, + [1494] = {.lex_state = 65}, + [1495] = {.lex_state = 65}, + [1496] = {.lex_state = 65}, + [1497] = {.lex_state = 65}, + [1498] = {.lex_state = 65}, + [1499] = {.lex_state = 65}, + [1500] = {.lex_state = 65}, + [1501] = {.lex_state = 65}, + [1502] = {.lex_state = 65}, + [1503] = {.lex_state = 65}, + [1504] = {.lex_state = 65}, + [1505] = {.lex_state = 65}, + [1506] = {.lex_state = 65}, + [1507] = {.lex_state = 65}, + [1508] = {.lex_state = 65}, + [1509] = {.lex_state = 65}, + [1510] = {.lex_state = 65}, + [1511] = {.lex_state = 65}, + [1512] = {.lex_state = 65}, + [1513] = {.lex_state = 65}, + [1514] = {.lex_state = 65}, + [1515] = {.lex_state = 65}, + [1516] = {.lex_state = 65}, + [1517] = {.lex_state = 65}, + [1518] = {.lex_state = 65}, + [1519] = {.lex_state = 65}, + [1520] = {.lex_state = 65}, + [1521] = {.lex_state = 65}, + [1522] = {.lex_state = 65}, + [1523] = {.lex_state = 65}, + [1524] = {.lex_state = 65}, + [1525] = {.lex_state = 65}, + [1526] = {.lex_state = 36}, + [1527] = {.lex_state = 65}, + [1528] = {.lex_state = 65}, + [1529] = {.lex_state = 65}, + [1530] = {.lex_state = 65}, + [1531] = {.lex_state = 65}, + [1532] = {.lex_state = 65}, + [1533] = {.lex_state = 65}, + [1534] = {.lex_state = 65}, + [1535] = {.lex_state = 65}, + [1536] = {.lex_state = 65}, + [1537] = {.lex_state = 65}, + [1538] = {.lex_state = 65}, + [1539] = {.lex_state = 65}, + [1540] = {.lex_state = 65}, + [1541] = {.lex_state = 65}, + [1542] = {.lex_state = 65}, + [1543] = {.lex_state = 65}, + [1544] = {.lex_state = 65}, + [1545] = {.lex_state = 65}, + [1546] = {.lex_state = 65}, + [1547] = {.lex_state = 65}, + [1548] = {.lex_state = 65}, + [1549] = {.lex_state = 65}, + [1550] = {.lex_state = 65}, + [1551] = {.lex_state = 65}, + [1552] = {.lex_state = 65}, + [1553] = {.lex_state = 65}, + [1554] = {.lex_state = 65}, + [1555] = {.lex_state = 65}, + [1556] = {.lex_state = 65}, + [1557] = {.lex_state = 65}, + [1558] = {.lex_state = 65}, + [1559] = {.lex_state = 5}, + [1560] = {.lex_state = 65}, + [1561] = {.lex_state = 65}, + [1562] = {.lex_state = 65}, + [1563] = {.lex_state = 65}, + [1564] = {.lex_state = 65}, + [1565] = {.lex_state = 65}, + [1566] = {.lex_state = 65}, + [1567] = {.lex_state = 65}, + [1568] = {.lex_state = 65}, + [1569] = {.lex_state = 65}, + [1570] = {.lex_state = 65}, + [1571] = {.lex_state = 65}, + [1572] = {.lex_state = 65}, + [1573] = {.lex_state = 65}, + [1574] = {.lex_state = 65}, + [1575] = {.lex_state = 65}, + [1576] = {.lex_state = 65}, + [1577] = {.lex_state = 65}, + [1578] = {.lex_state = 65}, + [1579] = {.lex_state = 65}, + [1580] = {.lex_state = 65}, + [1581] = {.lex_state = 65}, + [1582] = {.lex_state = 65}, + [1583] = {.lex_state = 65}, + [1584] = {.lex_state = 65}, + [1585] = {.lex_state = 65}, + [1586] = {.lex_state = 65}, + [1587] = {.lex_state = 65}, + [1588] = {.lex_state = 65}, + [1589] = {.lex_state = 65}, + [1590] = {.lex_state = 65}, + [1591] = {.lex_state = 65}, + [1592] = {.lex_state = 65}, + [1593] = {.lex_state = 65}, + [1594] = {.lex_state = 65}, + [1595] = {.lex_state = 65}, + [1596] = {.lex_state = 65}, + [1597] = {.lex_state = 65}, + [1598] = {.lex_state = 65}, + [1599] = {.lex_state = 65}, + [1600] = {.lex_state = 65}, + [1601] = {.lex_state = 65}, + [1602] = {.lex_state = 65}, + [1603] = {.lex_state = 65}, + [1604] = {.lex_state = 65}, + [1605] = {.lex_state = 65}, + [1606] = {.lex_state = 65}, + [1607] = {.lex_state = 65}, + [1608] = {.lex_state = 65}, + [1609] = {.lex_state = 65}, + [1610] = {.lex_state = 65}, + [1611] = {.lex_state = 65}, + [1612] = {.lex_state = 65}, + [1613] = {.lex_state = 65}, + [1614] = {.lex_state = 65}, + [1615] = {.lex_state = 65}, + [1616] = {.lex_state = 65}, + [1617] = {.lex_state = 65}, + [1618] = {.lex_state = 65}, + [1619] = {.lex_state = 65}, + [1620] = {.lex_state = 65}, + [1621] = {.lex_state = 65}, + [1622] = {.lex_state = 65}, + [1623] = {.lex_state = 65}, + [1624] = {.lex_state = 65}, + [1625] = {.lex_state = 65}, + [1626] = {.lex_state = 65}, + [1627] = {.lex_state = 65}, + [1628] = {.lex_state = 65}, + [1629] = {.lex_state = 65}, + [1630] = {.lex_state = 65}, + [1631] = {.lex_state = 65}, + [1632] = {.lex_state = 65}, + [1633] = {.lex_state = 65}, + [1634] = {.lex_state = 36}, + [1635] = {.lex_state = 65}, + [1636] = {.lex_state = 65}, + [1637] = {.lex_state = 65}, + [1638] = {.lex_state = 65}, + [1639] = {.lex_state = 65}, + [1640] = {.lex_state = 65}, + [1641] = {.lex_state = 65}, + [1642] = {.lex_state = 65}, + [1643] = {.lex_state = 65}, + [1644] = {.lex_state = 65}, + [1645] = {.lex_state = 65}, + [1646] = {.lex_state = 65}, + [1647] = {.lex_state = 65}, + [1648] = {.lex_state = 65}, + [1649] = {.lex_state = 65}, + [1650] = {.lex_state = 65}, + [1651] = {.lex_state = 65}, + [1652] = {.lex_state = 65}, + [1653] = {.lex_state = 65}, + [1654] = {.lex_state = 65}, + [1655] = {.lex_state = 65}, + [1656] = {.lex_state = 65}, + [1657] = {.lex_state = 65}, + [1658] = {.lex_state = 65}, + [1659] = {.lex_state = 65}, + [1660] = {.lex_state = 65}, + [1661] = {.lex_state = 65}, + [1662] = {.lex_state = 65}, + [1663] = {.lex_state = 65}, + [1664] = {.lex_state = 65}, + [1665] = {.lex_state = 65}, + [1666] = {.lex_state = 65}, + [1667] = {.lex_state = 65}, + [1668] = {.lex_state = 65}, + [1669] = {.lex_state = 65}, + [1670] = {.lex_state = 65}, + [1671] = {.lex_state = 65}, + [1672] = {.lex_state = 65}, + [1673] = {.lex_state = 65}, + [1674] = {.lex_state = 65}, + [1675] = {.lex_state = 65}, + [1676] = {.lex_state = 65}, + [1677] = {.lex_state = 65}, + [1678] = {.lex_state = 65}, + [1679] = {.lex_state = 36}, + [1680] = {.lex_state = 65}, + [1681] = {.lex_state = 65}, + [1682] = {.lex_state = 65}, + [1683] = {.lex_state = 65}, + [1684] = {.lex_state = 65}, + [1685] = {.lex_state = 65}, + [1686] = {.lex_state = 65}, + [1687] = {.lex_state = 65}, + [1688] = {.lex_state = 65}, + [1689] = {.lex_state = 65}, + [1690] = {.lex_state = 65}, + [1691] = {.lex_state = 65}, + [1692] = {.lex_state = 65}, + [1693] = {.lex_state = 65}, + [1694] = {.lex_state = 65}, + [1695] = {.lex_state = 65}, + [1696] = {.lex_state = 65}, + [1697] = {.lex_state = 65}, + [1698] = {.lex_state = 65}, + [1699] = {.lex_state = 65}, + [1700] = {.lex_state = 65}, + [1701] = {.lex_state = 36}, + [1702] = {.lex_state = 65}, + [1703] = {.lex_state = 65}, + [1704] = {.lex_state = 65}, + [1705] = {.lex_state = 36}, + [1706] = {.lex_state = 36}, + [1707] = {.lex_state = 65}, + [1708] = {.lex_state = 65}, + [1709] = {.lex_state = 65}, + [1710] = {.lex_state = 65}, + [1711] = {.lex_state = 65}, + [1712] = {.lex_state = 65}, + [1713] = {.lex_state = 65}, + [1714] = {.lex_state = 65}, + [1715] = {.lex_state = 65}, + [1716] = {.lex_state = 65}, + [1717] = {.lex_state = 65}, + [1718] = {.lex_state = 65}, + [1719] = {.lex_state = 65}, + [1720] = {.lex_state = 65}, + [1721] = {.lex_state = 65}, + [1722] = {.lex_state = 65}, + [1723] = {.lex_state = 65}, + [1724] = {.lex_state = 65}, + [1725] = {.lex_state = 65}, + [1726] = {.lex_state = 65}, + [1727] = {.lex_state = 65}, + [1728] = {.lex_state = 65}, + [1729] = {.lex_state = 65}, + [1730] = {.lex_state = 65}, + [1731] = {.lex_state = 65}, + [1732] = {.lex_state = 65}, + [1733] = {.lex_state = 65}, + [1734] = {.lex_state = 65}, + [1735] = {.lex_state = 65}, + [1736] = {.lex_state = 65}, + [1737] = {.lex_state = 65}, + [1738] = {.lex_state = 65}, + [1739] = {.lex_state = 65}, + [1740] = {.lex_state = 65}, + [1741] = {.lex_state = 65}, + [1742] = {.lex_state = 65}, + [1743] = {.lex_state = 65}, + [1744] = {.lex_state = 65}, + [1745] = {.lex_state = 65}, + [1746] = {.lex_state = 65}, + [1747] = {.lex_state = 22}, + [1748] = {.lex_state = 65}, + [1749] = {.lex_state = 65}, + [1750] = {.lex_state = 65}, + [1751] = {.lex_state = 65}, + [1752] = {.lex_state = 65}, + [1753] = {.lex_state = 65}, + [1754] = {.lex_state = 65}, + [1755] = {.lex_state = 65}, + [1756] = {.lex_state = 22}, + [1757] = {.lex_state = 65}, + [1758] = {.lex_state = 22}, + [1759] = {.lex_state = 39}, + [1760] = {.lex_state = 22}, + [1761] = {.lex_state = 22}, + [1762] = {.lex_state = 22}, + [1763] = {.lex_state = 22}, + [1764] = {.lex_state = 3}, + [1765] = {.lex_state = 3}, + [1766] = {.lex_state = 3}, + [1767] = {.lex_state = 3}, + [1768] = {.lex_state = 3}, + [1769] = {.lex_state = 3}, + [1770] = {.lex_state = 65}, + [1771] = {.lex_state = 65}, + [1772] = {.lex_state = 65}, + [1773] = {.lex_state = 65}, + [1774] = {.lex_state = 65}, + [1775] = {.lex_state = 65}, + [1776] = {.lex_state = 65}, + [1777] = {.lex_state = 65}, + [1778] = {.lex_state = 65}, + [1779] = {.lex_state = 65}, + [1780] = {.lex_state = 65}, + [1781] = {.lex_state = 65}, + [1782] = {.lex_state = 65}, + [1783] = {.lex_state = 65}, + [1784] = {.lex_state = 65}, + [1785] = {.lex_state = 65}, + [1786] = {.lex_state = 65}, + [1787] = {.lex_state = 65}, + [1788] = {.lex_state = 65}, + [1789] = {.lex_state = 65}, + [1790] = {.lex_state = 65}, + [1791] = {.lex_state = 65}, + [1792] = {.lex_state = 65}, + [1793] = {.lex_state = 65}, + [1794] = {.lex_state = 65}, + [1795] = {.lex_state = 65}, + [1796] = {.lex_state = 65}, + [1797] = {.lex_state = 65}, + [1798] = {.lex_state = 65}, + [1799] = {.lex_state = 65}, + [1800] = {.lex_state = 65}, + [1801] = {.lex_state = 65}, + [1802] = {.lex_state = 65}, + [1803] = {.lex_state = 65}, + [1804] = {.lex_state = 65}, + [1805] = {.lex_state = 19}, + [1806] = {.lex_state = 65}, + [1807] = {.lex_state = 65}, + [1808] = {.lex_state = 65}, + [1809] = {.lex_state = 65}, + [1810] = {.lex_state = 65}, + [1811] = {.lex_state = 65}, + [1812] = {.lex_state = 65}, + [1813] = {.lex_state = 65}, + [1814] = {.lex_state = 65}, + [1815] = {.lex_state = 65}, + [1816] = {.lex_state = 65}, + [1817] = {.lex_state = 36}, + [1818] = {.lex_state = 65}, + [1819] = {.lex_state = 65}, + [1820] = {.lex_state = 65}, + [1821] = {.lex_state = 65}, + [1822] = {.lex_state = 65}, + [1823] = {.lex_state = 65}, + [1824] = {.lex_state = 65}, + [1825] = {.lex_state = 65}, + [1826] = {.lex_state = 65}, + [1827] = {.lex_state = 21}, + [1828] = {.lex_state = 65}, + [1829] = {.lex_state = 21}, + [1830] = {.lex_state = 65}, + [1831] = {.lex_state = 65}, + [1832] = {.lex_state = 65}, + [1833] = {.lex_state = 65}, + [1834] = {.lex_state = 65}, + [1835] = {.lex_state = 65}, + [1836] = {.lex_state = 34}, + [1837] = {.lex_state = 34}, + [1838] = {.lex_state = 65}, + [1839] = {.lex_state = 65}, + [1840] = {.lex_state = 65}, + [1841] = {.lex_state = 65}, + [1842] = {.lex_state = 65}, + [1843] = {.lex_state = 65}, + [1844] = {.lex_state = 65}, + [1845] = {.lex_state = 65}, + [1846] = {.lex_state = 65}, + [1847] = {.lex_state = 65}, + [1848] = {.lex_state = 65}, + [1849] = {.lex_state = 65}, + [1850] = {.lex_state = 65}, + [1851] = {.lex_state = 65}, + [1852] = {.lex_state = 65}, + [1853] = {.lex_state = 65}, + [1854] = {.lex_state = 19}, + [1855] = {.lex_state = 65}, + [1856] = {.lex_state = 65}, + [1857] = {.lex_state = 65}, + [1858] = {.lex_state = 22}, + [1859] = {.lex_state = 65}, + [1860] = {.lex_state = 65}, + [1861] = {.lex_state = 65}, + [1862] = {.lex_state = 65}, + [1863] = {.lex_state = 65}, + [1864] = {.lex_state = 65}, + [1865] = {.lex_state = 65}, + [1866] = {.lex_state = 65}, + [1867] = {.lex_state = 65}, + [1868] = {.lex_state = 65}, + [1869] = {.lex_state = 65}, + [1870] = {.lex_state = 65}, + [1871] = {.lex_state = 65}, + [1872] = {.lex_state = 65}, + [1873] = {.lex_state = 22}, + [1874] = {.lex_state = 65}, + [1875] = {.lex_state = 65}, + [1876] = {.lex_state = 65}, + [1877] = {.lex_state = 65}, + [1878] = {.lex_state = 65}, + [1879] = {.lex_state = 65}, + [1880] = {.lex_state = 65}, + [1881] = {.lex_state = 65}, + [1882] = {.lex_state = 65}, + [1883] = {.lex_state = 36}, + [1884] = {.lex_state = 39}, + [1885] = {.lex_state = 36}, + [1886] = {.lex_state = 36}, + [1887] = {.lex_state = 5}, + [1888] = {.lex_state = 39}, + [1889] = {.lex_state = 65}, + [1890] = {.lex_state = 36}, + [1891] = {.lex_state = 39}, + [1892] = {.lex_state = 39}, + [1893] = {.lex_state = 39}, + [1894] = {.lex_state = 5}, + [1895] = {.lex_state = 39}, + [1896] = {.lex_state = 5}, + [1897] = {.lex_state = 5}, + [1898] = {.lex_state = 39}, + [1899] = {.lex_state = 36}, + [1900] = {.lex_state = 36}, + [1901] = {.lex_state = 3}, + [1902] = {.lex_state = 36}, + [1903] = {.lex_state = 36}, + [1904] = {.lex_state = 36}, + [1905] = {.lex_state = 39}, + [1906] = {.lex_state = 36}, + [1907] = {.lex_state = 36}, + [1908] = {.lex_state = 36}, + [1909] = {.lex_state = 36}, + [1910] = {.lex_state = 39}, + [1911] = {.lex_state = 36}, + [1912] = {.lex_state = 36}, + [1913] = {.lex_state = 36}, + [1914] = {.lex_state = 39}, + [1915] = {.lex_state = 22}, + [1916] = {.lex_state = 39}, + [1917] = {.lex_state = 36}, + [1918] = {.lex_state = 36}, + [1919] = {.lex_state = 36}, + [1920] = {.lex_state = 36}, + [1921] = {.lex_state = 36}, + [1922] = {.lex_state = 39}, + [1923] = {.lex_state = 8}, + [1924] = {.lex_state = 39}, + [1925] = {.lex_state = 65}, + [1926] = {.lex_state = 36}, + [1927] = {.lex_state = 36}, + [1928] = {.lex_state = 36}, + [1929] = {.lex_state = 39}, + [1930] = {.lex_state = 7}, + [1931] = {.lex_state = 39}, + [1932] = {.lex_state = 39}, + [1933] = {.lex_state = 36}, + [1934] = {.lex_state = 36}, + [1935] = {.lex_state = 36}, + [1936] = {.lex_state = 8}, + [1937] = {.lex_state = 36}, + [1938] = {.lex_state = 36}, + [1939] = {.lex_state = 7}, + [1940] = {.lex_state = 36}, + [1941] = {.lex_state = 36}, + [1942] = {.lex_state = 36}, + [1943] = {.lex_state = 36}, + [1944] = {.lex_state = 36}, + [1945] = {.lex_state = 36}, + [1946] = {.lex_state = 39}, + [1947] = {.lex_state = 36}, + [1948] = {.lex_state = 39}, + [1949] = {.lex_state = 36}, + [1950] = {.lex_state = 36}, + [1951] = {.lex_state = 36}, + [1952] = {.lex_state = 36}, + [1953] = {.lex_state = 36}, + [1954] = {.lex_state = 36}, + [1955] = {.lex_state = 36}, + [1956] = {.lex_state = 39}, + [1957] = {.lex_state = 36}, + [1958] = {.lex_state = 36}, + [1959] = {.lex_state = 39}, + [1960] = {.lex_state = 39}, + [1961] = {.lex_state = 7}, + [1962] = {.lex_state = 7}, + [1963] = {.lex_state = 7}, + [1964] = {.lex_state = 39}, + [1965] = {.lex_state = 39}, + [1966] = {.lex_state = 22}, + [1967] = {.lex_state = 39}, + [1968] = {.lex_state = 39}, + [1969] = {.lex_state = 7}, + [1970] = {.lex_state = 36}, + [1971] = {.lex_state = 39}, + [1972] = {.lex_state = 7}, + [1973] = {.lex_state = 39}, + [1974] = {.lex_state = 7}, + [1975] = {.lex_state = 39}, + [1976] = {.lex_state = 7}, + [1977] = {.lex_state = 39}, + [1978] = {.lex_state = 39}, + [1979] = {.lex_state = 39}, + [1980] = {.lex_state = 7}, + [1981] = {.lex_state = 39}, + [1982] = {.lex_state = 39}, + [1983] = {.lex_state = 7}, + [1984] = {.lex_state = 39}, + [1985] = {.lex_state = 5}, + [1986] = {.lex_state = 5}, + [1987] = {.lex_state = 5}, + [1988] = {.lex_state = 5}, + [1989] = {.lex_state = 5}, + [1990] = {.lex_state = 5}, + [1991] = {.lex_state = 39}, + [1992] = {.lex_state = 39}, + [1993] = {.lex_state = 39}, + [1994] = {.lex_state = 39}, + [1995] = {.lex_state = 39}, + [1996] = {.lex_state = 39}, + [1997] = {.lex_state = 39}, + [1998] = {.lex_state = 39}, + [1999] = {.lex_state = 39}, + [2000] = {.lex_state = 39}, + [2001] = {.lex_state = 39}, + [2002] = {.lex_state = 39}, + [2003] = {.lex_state = 39}, + [2004] = {.lex_state = 39}, + [2005] = {.lex_state = 39}, + [2006] = {.lex_state = 39}, + [2007] = {.lex_state = 39}, + [2008] = {.lex_state = 39}, + [2009] = {.lex_state = 39}, + [2010] = {.lex_state = 39}, + [2011] = {.lex_state = 39}, + [2012] = {.lex_state = 39}, + [2013] = {.lex_state = 39}, + [2014] = {.lex_state = 39}, + [2015] = {.lex_state = 39}, + [2016] = {.lex_state = 39}, + [2017] = {.lex_state = 39}, + [2018] = {.lex_state = 7}, + [2019] = {.lex_state = 7}, + [2020] = {.lex_state = 39}, + [2021] = {.lex_state = 36}, + [2022] = {.lex_state = 36}, + [2023] = {.lex_state = 7}, + [2024] = {.lex_state = 39}, + [2025] = {.lex_state = 39}, + [2026] = {.lex_state = 39}, + [2027] = {.lex_state = 39}, + [2028] = {.lex_state = 39}, + [2029] = {.lex_state = 39}, + [2030] = {.lex_state = 39}, + [2031] = {.lex_state = 39}, + [2032] = {.lex_state = 39}, + [2033] = {.lex_state = 39}, + [2034] = {.lex_state = 39}, + [2035] = {.lex_state = 39}, + [2036] = {.lex_state = 39}, + [2037] = {.lex_state = 7}, + [2038] = {.lex_state = 7}, + [2039] = {.lex_state = 3}, + [2040] = {.lex_state = 39}, + [2041] = {.lex_state = 39}, + [2042] = {.lex_state = 39}, + [2043] = {.lex_state = 7}, + [2044] = {.lex_state = 39}, + [2045] = {.lex_state = 39}, + [2046] = {.lex_state = 39}, + [2047] = {.lex_state = 39}, + [2048] = {.lex_state = 39}, + [2049] = {.lex_state = 39}, + [2050] = {.lex_state = 39}, + [2051] = {.lex_state = 38}, + [2052] = {.lex_state = 7}, + [2053] = {.lex_state = 39}, + [2054] = {.lex_state = 39}, + [2055] = {.lex_state = 36}, + [2056] = {.lex_state = 7}, + [2057] = {.lex_state = 39}, + [2058] = {.lex_state = 39}, + [2059] = {.lex_state = 7}, + [2060] = {.lex_state = 7}, + [2061] = {.lex_state = 38}, + [2062] = {.lex_state = 39}, + [2063] = {.lex_state = 39}, + [2064] = {.lex_state = 7}, + [2065] = {.lex_state = 7}, + [2066] = {.lex_state = 7}, + [2067] = {.lex_state = 7}, + [2068] = {.lex_state = 39}, + [2069] = {.lex_state = 39}, + [2070] = {.lex_state = 7}, + [2071] = {.lex_state = 7}, + [2072] = {.lex_state = 7}, + [2073] = {.lex_state = 7}, + [2074] = {.lex_state = 7}, + [2075] = {.lex_state = 39}, + [2076] = {.lex_state = 39}, + [2077] = {.lex_state = 7}, + [2078] = {.lex_state = 39}, + [2079] = {.lex_state = 39}, + [2080] = {.lex_state = 39}, + [2081] = {.lex_state = 39}, + [2082] = {.lex_state = 39}, + [2083] = {.lex_state = 39}, + [2084] = {.lex_state = 7}, + [2085] = {.lex_state = 39}, + [2086] = {.lex_state = 39}, + [2087] = {.lex_state = 7}, + [2088] = {.lex_state = 7}, + [2089] = {.lex_state = 7}, + [2090] = {.lex_state = 39}, + [2091] = {.lex_state = 7}, + [2092] = {.lex_state = 39}, + [2093] = {.lex_state = 39}, + [2094] = {.lex_state = 39}, + [2095] = {.lex_state = 8}, + [2096] = {.lex_state = 39}, + [2097] = {.lex_state = 39}, + [2098] = {.lex_state = 16}, + [2099] = {.lex_state = 39}, + [2100] = {.lex_state = 8}, + [2101] = {.lex_state = 39}, + [2102] = {.lex_state = 39}, + [2103] = {.lex_state = 39}, + [2104] = {.lex_state = 39}, + [2105] = {.lex_state = 39}, + [2106] = {.lex_state = 39}, + [2107] = {.lex_state = 39}, + [2108] = {.lex_state = 39}, + [2109] = {.lex_state = 39}, + [2110] = {.lex_state = 39}, + [2111] = {.lex_state = 39}, + [2112] = {.lex_state = 8}, + [2113] = {.lex_state = 39}, + [2114] = {.lex_state = 39}, + [2115] = {.lex_state = 39}, + [2116] = {.lex_state = 39}, + [2117] = {.lex_state = 39}, + [2118] = {.lex_state = 39}, + [2119] = {.lex_state = 39}, + [2120] = {.lex_state = 39}, + [2121] = {.lex_state = 39}, + [2122] = {.lex_state = 19}, + [2123] = {.lex_state = 8}, + [2124] = {.lex_state = 39}, + [2125] = {.lex_state = 39}, + [2126] = {.lex_state = 36}, + [2127] = {.lex_state = 39}, + [2128] = {.lex_state = 39}, + [2129] = {.lex_state = 39}, + [2130] = {.lex_state = 8}, + [2131] = {.lex_state = 8}, + [2132] = {.lex_state = 8}, + [2133] = {.lex_state = 8}, + [2134] = {.lex_state = 8}, + [2135] = {.lex_state = 8}, + [2136] = {.lex_state = 39}, + [2137] = {.lex_state = 39}, + [2138] = {.lex_state = 39}, + [2139] = {.lex_state = 39}, + [2140] = {.lex_state = 39}, + [2141] = {.lex_state = 19}, + [2142] = {.lex_state = 8}, + [2143] = {.lex_state = 8}, + [2144] = {.lex_state = 39}, + [2145] = {.lex_state = 39}, + [2146] = {.lex_state = 39}, + [2147] = {.lex_state = 8}, + [2148] = {.lex_state = 8}, + [2149] = {.lex_state = 39}, + [2150] = {.lex_state = 39}, + [2151] = {.lex_state = 8}, + [2152] = {.lex_state = 8}, + [2153] = {.lex_state = 8}, + [2154] = {.lex_state = 8}, + [2155] = {.lex_state = 8}, + [2156] = {.lex_state = 39}, + [2157] = {.lex_state = 39}, + [2158] = {.lex_state = 39}, + [2159] = {.lex_state = 39}, + [2160] = {.lex_state = 39}, + [2161] = {.lex_state = 8}, + [2162] = {.lex_state = 39}, + [2163] = {.lex_state = 7}, + [2164] = {.lex_state = 8}, + [2165] = {.lex_state = 8}, + [2166] = {.lex_state = 8}, + [2167] = {.lex_state = 39}, + [2168] = {.lex_state = 8}, + [2169] = {.lex_state = 7}, + [2170] = {.lex_state = 7}, + [2171] = {.lex_state = 39}, + [2172] = {.lex_state = 8}, + [2173] = {.lex_state = 39}, + [2174] = {.lex_state = 39}, + [2175] = {.lex_state = 8}, + [2176] = {.lex_state = 8}, + [2177] = {.lex_state = 8}, + [2178] = {.lex_state = 39}, + [2179] = {.lex_state = 8}, + [2180] = {.lex_state = 39}, + [2181] = {.lex_state = 8}, + [2182] = {.lex_state = 39}, + [2183] = {.lex_state = 39}, + [2184] = {.lex_state = 39}, + [2185] = {.lex_state = 39}, + [2186] = {.lex_state = 39}, + [2187] = {.lex_state = 39}, + [2188] = {.lex_state = 36}, + [2189] = {.lex_state = 8}, + [2190] = {.lex_state = 8}, + [2191] = {.lex_state = 9}, + [2192] = {.lex_state = 8}, + [2193] = {.lex_state = 7}, + [2194] = {.lex_state = 39}, + [2195] = {.lex_state = 8}, + [2196] = {.lex_state = 8}, + [2197] = {.lex_state = 8}, + [2198] = {.lex_state = 8}, + [2199] = {.lex_state = 8}, + [2200] = {.lex_state = 39}, + [2201] = {.lex_state = 39}, + [2202] = {.lex_state = 8}, + [2203] = {.lex_state = 8}, + [2204] = {.lex_state = 8}, + [2205] = {.lex_state = 39}, + [2206] = {.lex_state = 8}, + [2207] = {.lex_state = 39}, + [2208] = {.lex_state = 8}, + [2209] = {.lex_state = 39}, + [2210] = {.lex_state = 39}, + [2211] = {.lex_state = 39}, + [2212] = {.lex_state = 39}, + [2213] = {.lex_state = 8}, + [2214] = {.lex_state = 39}, + [2215] = {.lex_state = 8}, + [2216] = {.lex_state = 8}, + [2217] = {.lex_state = 39}, + [2218] = {.lex_state = 39}, + [2219] = {.lex_state = 39}, + [2220] = {.lex_state = 8}, + [2221] = {.lex_state = 8}, + [2222] = {.lex_state = 39}, + [2223] = {.lex_state = 8}, + [2224] = {.lex_state = 8}, + [2225] = {.lex_state = 8}, + [2226] = {.lex_state = 39}, + [2227] = {.lex_state = 8}, + [2228] = {.lex_state = 8}, + [2229] = {.lex_state = 8}, + [2230] = {.lex_state = 39}, + [2231] = {.lex_state = 39}, + [2232] = {.lex_state = 39}, + [2233] = {.lex_state = 8}, + [2234] = {.lex_state = 65}, + [2235] = {.lex_state = 65}, + [2236] = {.lex_state = 65}, + [2237] = {.lex_state = 65}, + [2238] = {.lex_state = 65}, + [2239] = {.lex_state = 65}, + [2240] = {.lex_state = 65}, + [2241] = {.lex_state = 65}, + [2242] = {.lex_state = 8}, + [2243] = {.lex_state = 38}, + [2244] = {.lex_state = 38}, + [2245] = {.lex_state = 7}, + [2246] = {.lex_state = 7}, + [2247] = {.lex_state = 7}, + [2248] = {.lex_state = 65}, + [2249] = {.lex_state = 65}, + [2250] = {.lex_state = 65}, + [2251] = {.lex_state = 65}, + [2252] = {.lex_state = 65}, + [2253] = {.lex_state = 8}, + [2254] = {.lex_state = 65}, + [2255] = {.lex_state = 39}, + [2256] = {.lex_state = 65}, + [2257] = {.lex_state = 65}, + [2258] = {.lex_state = 65}, + [2259] = {.lex_state = 65}, + [2260] = {.lex_state = 65}, + [2261] = {.lex_state = 65}, + [2262] = {.lex_state = 39}, + [2263] = {.lex_state = 39}, + [2264] = {.lex_state = 39}, + [2265] = {.lex_state = 65}, + [2266] = {.lex_state = 7}, + [2267] = {.lex_state = 65}, + [2268] = {.lex_state = 65}, + [2269] = {.lex_state = 65}, + [2270] = {.lex_state = 65}, + [2271] = {.lex_state = 7}, + [2272] = {.lex_state = 39}, + [2273] = {.lex_state = 65}, + [2274] = {.lex_state = 65}, + [2275] = {.lex_state = 65}, + [2276] = {.lex_state = 65}, + [2277] = {.lex_state = 65}, + [2278] = {.lex_state = 65}, + [2279] = {.lex_state = 65}, + [2280] = {.lex_state = 65}, + [2281] = {.lex_state = 65}, + [2282] = {.lex_state = 65}, + [2283] = {.lex_state = 65}, + [2284] = {.lex_state = 65}, + [2285] = {.lex_state = 65}, + [2286] = {.lex_state = 65}, + [2287] = {.lex_state = 65}, + [2288] = {.lex_state = 39}, + [2289] = {.lex_state = 39}, + [2290] = {.lex_state = 65}, + [2291] = {.lex_state = 65}, + [2292] = {.lex_state = 8}, + [2293] = {.lex_state = 65}, + [2294] = {.lex_state = 65}, + [2295] = {.lex_state = 65}, + [2296] = {.lex_state = 39}, + [2297] = {.lex_state = 8}, + [2298] = {.lex_state = 65}, + [2299] = {.lex_state = 65}, + [2300] = {.lex_state = 65}, + [2301] = {.lex_state = 65}, + [2302] = {.lex_state = 65}, + [2303] = {.lex_state = 39}, + [2304] = {.lex_state = 65}, + [2305] = {.lex_state = 65}, + [2306] = {.lex_state = 65}, + [2307] = {.lex_state = 7}, + [2308] = {.lex_state = 39}, + [2309] = {.lex_state = 7}, + [2310] = {.lex_state = 65}, + [2311] = {.lex_state = 65}, + [2312] = {.lex_state = 7}, + [2313] = {.lex_state = 65}, + [2314] = {.lex_state = 7}, + [2315] = {.lex_state = 65}, + [2316] = {.lex_state = 7}, + [2317] = {.lex_state = 65}, + [2318] = {.lex_state = 65}, + [2319] = {.lex_state = 65}, + [2320] = {.lex_state = 65}, + [2321] = {.lex_state = 65}, + [2322] = {.lex_state = 65}, + [2323] = {.lex_state = 65}, + [2324] = {.lex_state = 65}, + [2325] = {.lex_state = 8}, + [2326] = {.lex_state = 8}, + [2327] = {.lex_state = 65}, + [2328] = {.lex_state = 8}, + [2329] = {.lex_state = 7}, + [2330] = {.lex_state = 7}, + [2331] = {.lex_state = 65}, + [2332] = {.lex_state = 65}, + [2333] = {.lex_state = 7}, + [2334] = {.lex_state = 65}, + [2335] = {.lex_state = 65}, + [2336] = {.lex_state = 65}, + [2337] = {.lex_state = 7}, + [2338] = {.lex_state = 7}, + [2339] = {.lex_state = 7}, + [2340] = {.lex_state = 65}, + [2341] = {.lex_state = 65}, + [2342] = {.lex_state = 65}, + [2343] = {.lex_state = 65}, + [2344] = {.lex_state = 19}, + [2345] = {.lex_state = 65}, + [2346] = {.lex_state = 65}, + [2347] = {.lex_state = 65}, + [2348] = {.lex_state = 65}, + [2349] = {.lex_state = 65}, + [2350] = {.lex_state = 65}, + [2351] = {.lex_state = 65}, + [2352] = {.lex_state = 65}, + [2353] = {.lex_state = 65}, + [2354] = {.lex_state = 65}, + [2355] = {.lex_state = 65}, + [2356] = {.lex_state = 8}, + [2357] = {.lex_state = 65}, + [2358] = {.lex_state = 65}, + [2359] = {.lex_state = 65}, + [2360] = {.lex_state = 65}, + [2361] = {.lex_state = 65}, + [2362] = {.lex_state = 65}, + [2363] = {.lex_state = 65}, + [2364] = {.lex_state = 65}, + [2365] = {.lex_state = 65}, + [2366] = {.lex_state = 65}, + [2367] = {.lex_state = 65}, + [2368] = {.lex_state = 65}, + [2369] = {.lex_state = 65}, + [2370] = {.lex_state = 7}, + [2371] = {.lex_state = 65}, + [2372] = {.lex_state = 65}, + [2373] = {.lex_state = 65}, + [2374] = {.lex_state = 65}, + [2375] = {.lex_state = 65}, + [2376] = {.lex_state = 39}, + [2377] = {.lex_state = 65}, + [2378] = {.lex_state = 39}, + [2379] = {.lex_state = 65}, + [2380] = {.lex_state = 65}, + [2381] = {.lex_state = 65}, + [2382] = {.lex_state = 39}, + [2383] = {.lex_state = 65}, + [2384] = {.lex_state = 65}, + [2385] = {.lex_state = 65}, + [2386] = {.lex_state = 65}, + [2387] = {.lex_state = 39}, + [2388] = {.lex_state = 65}, + [2389] = {.lex_state = 65}, + [2390] = {.lex_state = 39}, + [2391] = {.lex_state = 65}, + [2392] = {.lex_state = 39}, + [2393] = {.lex_state = 65}, + [2394] = {.lex_state = 65}, + [2395] = {.lex_state = 39}, + [2396] = {.lex_state = 65}, + [2397] = {.lex_state = 65}, + [2398] = {.lex_state = 65}, + [2399] = {.lex_state = 39}, + [2400] = {.lex_state = 65}, + [2401] = {.lex_state = 39}, + [2402] = {.lex_state = 65}, + [2403] = {.lex_state = 65}, + [2404] = {.lex_state = 39}, + [2405] = {.lex_state = 39}, + [2406] = {.lex_state = 39}, + [2407] = {.lex_state = 36}, + [2408] = {.lex_state = 36}, + [2409] = {.lex_state = 36}, + [2410] = {.lex_state = 36}, + [2411] = {.lex_state = 36}, + [2412] = {.lex_state = 36}, + [2413] = {.lex_state = 19}, + [2414] = {.lex_state = 39}, + [2415] = {.lex_state = 39}, + [2416] = {.lex_state = 39}, + [2417] = {.lex_state = 39}, + [2418] = {.lex_state = 19}, + [2419] = {.lex_state = 36}, + [2420] = {.lex_state = 39}, + [2421] = {.lex_state = 38}, + [2422] = {.lex_state = 16}, + [2423] = {.lex_state = 39}, + [2424] = {.lex_state = 39}, + [2425] = {.lex_state = 39}, + [2426] = {.lex_state = 39}, + [2427] = {.lex_state = 39}, + [2428] = {.lex_state = 39}, + [2429] = {.lex_state = 39}, + [2430] = {.lex_state = 39}, + [2431] = {.lex_state = 39}, + [2432] = {.lex_state = 39}, + [2433] = {.lex_state = 39}, + [2434] = {.lex_state = 39}, + [2435] = {.lex_state = 39}, + [2436] = {.lex_state = 36}, + [2437] = {.lex_state = 39}, + [2438] = {.lex_state = 39}, + [2439] = {.lex_state = 19}, + [2440] = {.lex_state = 39}, + [2441] = {.lex_state = 39}, + [2442] = {.lex_state = 39}, + [2443] = {.lex_state = 39}, + [2444] = {.lex_state = 39}, + [2445] = {.lex_state = 65}, + [2446] = {.lex_state = 39}, + [2447] = {.lex_state = 36}, + [2448] = {.lex_state = 39}, + [2449] = {.lex_state = 39}, + [2450] = {.lex_state = 39}, + [2451] = {.lex_state = 39}, + [2452] = {.lex_state = 39}, + [2453] = {.lex_state = 39}, + [2454] = {.lex_state = 39}, + [2455] = {.lex_state = 39}, + [2456] = {.lex_state = 36}, + [2457] = {.lex_state = 39}, + [2458] = {.lex_state = 8}, + [2459] = {.lex_state = 39}, + [2460] = {.lex_state = 39}, + [2461] = {.lex_state = 39}, + [2462] = {.lex_state = 39}, + [2463] = {.lex_state = 39}, + [2464] = {.lex_state = 39}, + [2465] = {.lex_state = 36}, + [2466] = {.lex_state = 36}, + [2467] = {.lex_state = 36}, + [2468] = {.lex_state = 36}, + [2469] = {.lex_state = 65}, + [2470] = {.lex_state = 19}, + [2471] = {.lex_state = 65}, + [2472] = {.lex_state = 65}, + [2473] = {.lex_state = 65}, + [2474] = {.lex_state = 65}, + [2475] = {.lex_state = 65}, + [2476] = {.lex_state = 65}, + [2477] = {.lex_state = 65}, + [2478] = {.lex_state = 65}, + [2479] = {.lex_state = 65}, + [2480] = {.lex_state = 65}, + [2481] = {.lex_state = 65}, + [2482] = {.lex_state = 65}, + [2483] = {.lex_state = 65}, + [2484] = {.lex_state = 65}, + [2485] = {.lex_state = 65}, + [2486] = {.lex_state = 65}, + [2487] = {.lex_state = 65}, + [2488] = {.lex_state = 65}, + [2489] = {.lex_state = 19}, + [2490] = {.lex_state = 65}, + [2491] = {.lex_state = 65}, + [2492] = {.lex_state = 65}, + [2493] = {.lex_state = 65}, + [2494] = {.lex_state = 65}, + [2495] = {.lex_state = 65}, + [2496] = {.lex_state = 65}, + [2497] = {.lex_state = 65}, + [2498] = {.lex_state = 65}, + [2499] = {.lex_state = 36}, + [2500] = {.lex_state = 36}, + [2501] = {.lex_state = 36}, + [2502] = {.lex_state = 36}, + [2503] = {.lex_state = 65}, + [2504] = {.lex_state = 65}, + [2505] = {.lex_state = 36}, + [2506] = {.lex_state = 65}, + [2507] = {.lex_state = 36}, + [2508] = {.lex_state = 36}, + [2509] = {.lex_state = 65}, + [2510] = {.lex_state = 65}, + [2511] = {.lex_state = 65}, + [2512] = {.lex_state = 65}, + [2513] = {.lex_state = 65}, + [2514] = {.lex_state = 65}, + [2515] = {.lex_state = 65}, + [2516] = {.lex_state = 65}, + [2517] = {.lex_state = 65}, + [2518] = {.lex_state = 65}, + [2519] = {.lex_state = 65}, + [2520] = {.lex_state = 65}, + [2521] = {.lex_state = 39}, + [2522] = {.lex_state = 65}, + [2523] = {.lex_state = 65}, + [2524] = {.lex_state = 65}, + [2525] = {.lex_state = 65}, + [2526] = {.lex_state = 65}, + [2527] = {.lex_state = 65}, + [2528] = {.lex_state = 65}, + [2529] = {.lex_state = 65}, + [2530] = {.lex_state = 65}, + [2531] = {.lex_state = 65}, + [2532] = {.lex_state = 65}, + [2533] = {.lex_state = 65}, + [2534] = {.lex_state = 65}, + [2535] = {.lex_state = 65}, + [2536] = {.lex_state = 65}, + [2537] = {.lex_state = 65}, + [2538] = {.lex_state = 65}, + [2539] = {.lex_state = 65}, + [2540] = {.lex_state = 65}, + [2541] = {.lex_state = 65}, + [2542] = {.lex_state = 65}, + [2543] = {.lex_state = 65}, + [2544] = {.lex_state = 65}, + [2545] = {.lex_state = 65}, + [2546] = {.lex_state = 7}, + [2547] = {.lex_state = 65}, + [2548] = {.lex_state = 65}, + [2549] = {.lex_state = 65}, + [2550] = {.lex_state = 65}, + [2551] = {.lex_state = 65}, + [2552] = {.lex_state = 65}, + [2553] = {.lex_state = 39}, + [2554] = {.lex_state = 65}, + [2555] = {.lex_state = 65}, + [2556] = {.lex_state = 65}, + [2557] = {.lex_state = 65}, + [2558] = {.lex_state = 65}, + [2559] = {.lex_state = 65}, + [2560] = {.lex_state = 65}, + [2561] = {.lex_state = 65}, + [2562] = {.lex_state = 65}, + [2563] = {.lex_state = 65}, + [2564] = {.lex_state = 65}, + [2565] = {.lex_state = 65}, + [2566] = {.lex_state = 65}, + [2567] = {.lex_state = 65}, + [2568] = {.lex_state = 65}, + [2569] = {.lex_state = 65}, + [2570] = {.lex_state = 65}, + [2571] = {.lex_state = 65}, + [2572] = {.lex_state = 65}, + [2573] = {.lex_state = 65}, + [2574] = {.lex_state = 65}, + [2575] = {.lex_state = 65}, + [2576] = {.lex_state = 65}, + [2577] = {.lex_state = 65}, + [2578] = {.lex_state = 65}, + [2579] = {.lex_state = 65}, + [2580] = {.lex_state = 65}, + [2581] = {.lex_state = 65}, + [2582] = {.lex_state = 65}, + [2583] = {.lex_state = 65}, + [2584] = {.lex_state = 65}, + [2585] = {.lex_state = 65}, + [2586] = {.lex_state = 65}, + [2587] = {.lex_state = 65}, + [2588] = {.lex_state = 65}, + [2589] = {.lex_state = 65}, + [2590] = {.lex_state = 65}, + [2591] = {.lex_state = 65}, + [2592] = {.lex_state = 65}, + [2593] = {.lex_state = 65}, + [2594] = {.lex_state = 65}, + [2595] = {.lex_state = 65}, + [2596] = {.lex_state = 65}, + [2597] = {.lex_state = 39}, + [2598] = {.lex_state = 39}, + [2599] = {.lex_state = 65}, + [2600] = {.lex_state = 65}, + [2601] = {.lex_state = 65}, + [2602] = {.lex_state = 65}, + [2603] = {.lex_state = 65}, + [2604] = {.lex_state = 65}, + [2605] = {.lex_state = 65}, + [2606] = {.lex_state = 65}, + [2607] = {.lex_state = 65}, + [2608] = {.lex_state = 65}, + [2609] = {.lex_state = 65}, + [2610] = {.lex_state = 65}, + [2611] = {.lex_state = 65}, + [2612] = {.lex_state = 65}, + [2613] = {.lex_state = 65}, + [2614] = {.lex_state = 65}, + [2615] = {.lex_state = 65}, + [2616] = {.lex_state = 65}, + [2617] = {.lex_state = 65}, + [2618] = {.lex_state = 65}, + [2619] = {.lex_state = 65}, + [2620] = {.lex_state = 65}, + [2621] = {.lex_state = 65}, + [2622] = {.lex_state = 65}, + [2623] = {.lex_state = 65}, + [2624] = {.lex_state = 65}, + [2625] = {.lex_state = 65}, + [2626] = {.lex_state = 65}, + [2627] = {.lex_state = 65}, + [2628] = {.lex_state = 65}, + [2629] = {.lex_state = 65}, + [2630] = {.lex_state = 65}, + [2631] = {.lex_state = 65}, + [2632] = {.lex_state = 65}, + [2633] = {.lex_state = 65}, + [2634] = {.lex_state = 65}, + [2635] = {.lex_state = 65}, + [2636] = {.lex_state = 65}, + [2637] = {.lex_state = 65}, + [2638] = {.lex_state = 65}, + [2639] = {.lex_state = 65}, + [2640] = {.lex_state = 65}, + [2641] = {.lex_state = 65}, + [2642] = {.lex_state = 65}, + [2643] = {.lex_state = 65}, + [2644] = {.lex_state = 65}, + [2645] = {.lex_state = 65}, + [2646] = {.lex_state = 65}, + [2647] = {.lex_state = 65}, + [2648] = {.lex_state = 65}, + [2649] = {.lex_state = 65}, + [2650] = {.lex_state = 65}, + [2651] = {.lex_state = 65}, + [2652] = {.lex_state = 65}, + [2653] = {.lex_state = 65}, + [2654] = {.lex_state = 65}, + [2655] = {.lex_state = 65}, + [2656] = {.lex_state = 65}, + [2657] = {.lex_state = 65}, + [2658] = {.lex_state = 65}, + [2659] = {.lex_state = 65}, + [2660] = {.lex_state = 65}, + [2661] = {.lex_state = 65}, + [2662] = {.lex_state = 65}, + [2663] = {.lex_state = 65}, + [2664] = {.lex_state = 65}, + [2665] = {.lex_state = 65}, + [2666] = {.lex_state = 65}, + [2667] = {.lex_state = 65}, + [2668] = {.lex_state = 65}, + [2669] = {.lex_state = 65}, + [2670] = {.lex_state = 65}, + [2671] = {.lex_state = 65}, + [2672] = {.lex_state = 65}, + [2673] = {.lex_state = 65}, + [2674] = {.lex_state = 65}, + [2675] = {.lex_state = 65}, + [2676] = {.lex_state = 65}, + [2677] = {.lex_state = 65}, + [2678] = {.lex_state = 65}, + [2679] = {.lex_state = 65}, + [2680] = {.lex_state = 65}, + [2681] = {.lex_state = 65}, + [2682] = {.lex_state = 65}, + [2683] = {.lex_state = 65}, + [2684] = {.lex_state = 65}, + [2685] = {.lex_state = 65}, + [2686] = {.lex_state = 65}, + [2687] = {.lex_state = 65}, + [2688] = {.lex_state = 65}, + [2689] = {.lex_state = 65}, + [2690] = {.lex_state = 36}, + [2691] = {.lex_state = 36}, + [2692] = {.lex_state = 36}, + [2693] = {.lex_state = 36}, + [2694] = {.lex_state = 36}, + [2695] = {.lex_state = 36}, + [2696] = {.lex_state = 36}, + [2697] = {.lex_state = 65}, + [2698] = {.lex_state = 65}, + [2699] = {.lex_state = 65}, + [2700] = {.lex_state = 65}, + [2701] = {.lex_state = 65}, + [2702] = {.lex_state = 65}, + [2703] = {.lex_state = 65}, + [2704] = {.lex_state = 65}, + [2705] = {.lex_state = 65}, + [2706] = {.lex_state = 65}, + [2707] = {.lex_state = 65}, + [2708] = {.lex_state = 65}, + [2709] = {.lex_state = 65}, + [2710] = {.lex_state = 65}, + [2711] = {.lex_state = 65}, + [2712] = {.lex_state = 65}, + [2713] = {.lex_state = 65}, + [2714] = {.lex_state = 65}, + [2715] = {.lex_state = 65}, + [2716] = {.lex_state = 65}, + [2717] = {.lex_state = 65}, + [2718] = {.lex_state = 65}, + [2719] = {.lex_state = 65}, + [2720] = {.lex_state = 65}, + [2721] = {.lex_state = 65}, + [2722] = {.lex_state = 65}, + [2723] = {.lex_state = 65}, + [2724] = {.lex_state = 65}, + [2725] = {.lex_state = 65}, + [2726] = {.lex_state = 65}, + [2727] = {.lex_state = 65}, + [2728] = {.lex_state = 8}, + [2729] = {.lex_state = 65}, + [2730] = {.lex_state = 65}, + [2731] = {.lex_state = 65}, + [2732] = {.lex_state = 65}, + [2733] = {.lex_state = 65}, + [2734] = {.lex_state = 39}, + [2735] = {.lex_state = 65}, + [2736] = {.lex_state = 65}, + [2737] = {.lex_state = 65}, + [2738] = {.lex_state = 65}, + [2739] = {.lex_state = 65}, + [2740] = {.lex_state = 65}, + [2741] = {.lex_state = 65}, + [2742] = {.lex_state = 8}, + [2743] = {.lex_state = 65}, + [2744] = {.lex_state = 65}, + [2745] = {.lex_state = 8}, + [2746] = {.lex_state = 7}, + [2747] = {.lex_state = 65}, + [2748] = {.lex_state = 65}, + [2749] = {.lex_state = 65}, + [2750] = {.lex_state = 8}, + [2751] = {.lex_state = 65}, + [2752] = {.lex_state = 8}, + [2753] = {.lex_state = 65}, + [2754] = {.lex_state = 65}, + [2755] = {.lex_state = 65}, + [2756] = {.lex_state = 8}, + [2757] = {.lex_state = 65}, + [2758] = {.lex_state = 65}, + [2759] = {.lex_state = 65}, + [2760] = {.lex_state = 65}, + [2761] = {.lex_state = 65}, + [2762] = {.lex_state = 65}, + [2763] = {.lex_state = 65}, + [2764] = {.lex_state = 65}, + [2765] = {.lex_state = 65}, + [2766] = {.lex_state = 8}, + [2767] = {.lex_state = 8}, + [2768] = {.lex_state = 65}, + [2769] = {.lex_state = 65}, + [2770] = {.lex_state = 65}, + [2771] = {.lex_state = 65}, + [2772] = {.lex_state = 8}, + [2773] = {.lex_state = 8}, + [2774] = {.lex_state = 39}, + [2775] = {.lex_state = 7}, + [2776] = {.lex_state = 7}, + [2777] = {.lex_state = 8}, + [2778] = {.lex_state = 8}, + [2779] = {.lex_state = 39}, + [2780] = {.lex_state = 8}, + [2781] = {.lex_state = 36}, + [2782] = {.lex_state = 39}, + [2783] = {.lex_state = 7}, + [2784] = {.lex_state = 8}, + [2785] = {.lex_state = 65}, + [2786] = {.lex_state = 39}, + [2787] = {.lex_state = 39}, + [2788] = {.lex_state = 8}, + [2789] = {.lex_state = 39}, + [2790] = {.lex_state = 39}, + [2791] = {.lex_state = 39}, + [2792] = {.lex_state = 8}, + [2793] = {.lex_state = 39}, + [2794] = {.lex_state = 39}, + [2795] = {.lex_state = 39}, + [2796] = {.lex_state = 39}, + [2797] = {.lex_state = 36}, + [2798] = {.lex_state = 7}, + [2799] = {.lex_state = 8}, + [2800] = {.lex_state = 39}, + [2801] = {.lex_state = 8}, + [2802] = {.lex_state = 7}, + [2803] = {.lex_state = 7}, + [2804] = {.lex_state = 7}, + [2805] = {.lex_state = 7}, + [2806] = {.lex_state = 7}, + [2807] = {.lex_state = 8}, + [2808] = {.lex_state = 7}, + [2809] = {.lex_state = 7}, + [2810] = {.lex_state = 7}, + [2811] = {.lex_state = 8}, + [2812] = {.lex_state = 36}, + [2813] = {.lex_state = 36}, + [2814] = {.lex_state = 39}, + [2815] = {.lex_state = 7}, + [2816] = {.lex_state = 7}, + [2817] = {.lex_state = 7}, + [2818] = {.lex_state = 19}, + [2819] = {.lex_state = 39}, + [2820] = {.lex_state = 39}, + [2821] = {.lex_state = 7}, + [2822] = {.lex_state = 39}, + [2823] = {.lex_state = 39}, + [2824] = {.lex_state = 39}, + [2825] = {.lex_state = 39}, + [2826] = {.lex_state = 39}, + [2827] = {.lex_state = 8}, + [2828] = {.lex_state = 8}, + [2829] = {.lex_state = 39}, + [2830] = {.lex_state = 8}, + [2831] = {.lex_state = 39}, + [2832] = {.lex_state = 8}, + [2833] = {.lex_state = 8}, + [2834] = {.lex_state = 39}, + [2835] = {.lex_state = 39}, + [2836] = {.lex_state = 7}, + [2837] = {.lex_state = 39}, + [2838] = {.lex_state = 39}, + [2839] = {.lex_state = 39}, + [2840] = {.lex_state = 39}, + [2841] = {.lex_state = 39}, + [2842] = {.lex_state = 39}, + [2843] = {.lex_state = 39}, + [2844] = {.lex_state = 8}, + [2845] = {.lex_state = 8}, + [2846] = {.lex_state = 39}, + [2847] = {.lex_state = 39}, + [2848] = {.lex_state = 8}, + [2849] = {.lex_state = 39}, + [2850] = {.lex_state = 8}, + [2851] = {.lex_state = 7}, + [2852] = {.lex_state = 7}, + [2853] = {.lex_state = 7}, + [2854] = {.lex_state = 7}, + [2855] = {.lex_state = 39}, + [2856] = {.lex_state = 39}, + [2857] = {.lex_state = 39}, + [2858] = {.lex_state = 8}, + [2859] = {.lex_state = 7}, + [2860] = {.lex_state = 8}, + [2861] = {.lex_state = 8}, + [2862] = {.lex_state = 7}, + [2863] = {.lex_state = 39}, + [2864] = {.lex_state = 39}, + [2865] = {.lex_state = 7}, + [2866] = {.lex_state = 7}, + [2867] = {.lex_state = 39}, + [2868] = {.lex_state = 7}, + [2869] = {.lex_state = 19}, + [2870] = {.lex_state = 8}, + [2871] = {.lex_state = 39}, + [2872] = {.lex_state = 8}, + [2873] = {.lex_state = 65}, + [2874] = {.lex_state = 65}, + [2875] = {.lex_state = 39}, + [2876] = {.lex_state = 65}, + [2877] = {.lex_state = 8}, + [2878] = {.lex_state = 8}, + [2879] = {.lex_state = 7}, + [2880] = {.lex_state = 7}, + [2881] = {.lex_state = 65}, + [2882] = {.lex_state = 65}, + [2883] = {.lex_state = 65}, + [2884] = {.lex_state = 8}, + [2885] = {.lex_state = 19}, + [2886] = {.lex_state = 8}, + [2887] = {.lex_state = 7}, + [2888] = {.lex_state = 7}, + [2889] = {.lex_state = 7}, + [2890] = {.lex_state = 8}, + [2891] = {.lex_state = 8}, + [2892] = {.lex_state = 39}, + [2893] = {.lex_state = 16}, + [2894] = {.lex_state = 16}, + [2895] = {.lex_state = 39}, + [2896] = {.lex_state = 7}, + [2897] = {.lex_state = 16}, + [2898] = {.lex_state = 39}, + [2899] = {.lex_state = 39}, + [2900] = {.lex_state = 39}, + [2901] = {.lex_state = 8}, + [2902] = {.lex_state = 7}, + [2903] = {.lex_state = 39}, + [2904] = {.lex_state = 39}, + [2905] = {.lex_state = 39}, + [2906] = {.lex_state = 16}, + [2907] = {.lex_state = 19}, + [2908] = {.lex_state = 16}, + [2909] = {.lex_state = 16}, + [2910] = {.lex_state = 65}, + [2911] = {.lex_state = 8}, + [2912] = {.lex_state = 8}, + [2913] = {.lex_state = 8}, + [2914] = {.lex_state = 39}, + [2915] = {.lex_state = 39}, + [2916] = {.lex_state = 8}, + [2917] = {.lex_state = 8}, + [2918] = {.lex_state = 39}, + [2919] = {.lex_state = 39}, + [2920] = {.lex_state = 39}, + [2921] = {.lex_state = 8}, + [2922] = {.lex_state = 8}, + [2923] = {.lex_state = 8}, + [2924] = {.lex_state = 8}, + [2925] = {.lex_state = 8}, + [2926] = {.lex_state = 8}, + [2927] = {.lex_state = 8}, + [2928] = {.lex_state = 8}, + [2929] = {.lex_state = 8}, + [2930] = {.lex_state = 8}, + [2931] = {.lex_state = 8}, + [2932] = {.lex_state = 8}, + [2933] = {.lex_state = 8}, + [2934] = {.lex_state = 39}, + [2935] = {.lex_state = 8}, + [2936] = {.lex_state = 8}, + [2937] = {.lex_state = 8}, + [2938] = {.lex_state = 8}, + [2939] = {.lex_state = 8}, + [2940] = {.lex_state = 8}, + [2941] = {.lex_state = 8}, + [2942] = {.lex_state = 39}, + [2943] = {.lex_state = 8}, + [2944] = {.lex_state = 8}, + [2945] = {.lex_state = 39}, + [2946] = {.lex_state = 65}, + [2947] = {.lex_state = 36}, + [2948] = {.lex_state = 36}, + [2949] = {.lex_state = 36}, + [2950] = {.lex_state = 39}, + [2951] = {.lex_state = 8}, + [2952] = {.lex_state = 8}, + [2953] = {.lex_state = 8}, + [2954] = {.lex_state = 8}, + [2955] = {.lex_state = 8}, + [2956] = {.lex_state = 8}, + [2957] = {.lex_state = 8}, + [2958] = {.lex_state = 8}, + [2959] = {.lex_state = 8}, + [2960] = {.lex_state = 36}, + [2961] = {.lex_state = 8}, + [2962] = {.lex_state = 8}, + [2963] = {.lex_state = 8}, + [2964] = {.lex_state = 8}, + [2965] = {.lex_state = 8}, + [2966] = {.lex_state = 8}, + [2967] = {.lex_state = 36}, + [2968] = {.lex_state = 36}, + [2969] = {.lex_state = 39}, + [2970] = {.lex_state = 36}, + [2971] = {.lex_state = 19}, + [2972] = {.lex_state = 36}, + [2973] = {.lex_state = 8}, + [2974] = {.lex_state = 39}, + [2975] = {.lex_state = 7}, + [2976] = {.lex_state = 39}, + [2977] = {.lex_state = 8}, + [2978] = {.lex_state = 36}, + [2979] = {.lex_state = 36}, + [2980] = {.lex_state = 8}, + [2981] = {.lex_state = 8}, + [2982] = {.lex_state = 8}, + [2983] = {.lex_state = 36}, + [2984] = {.lex_state = 34}, + [2985] = {.lex_state = 36}, + [2986] = {.lex_state = 39}, + [2987] = {.lex_state = 39}, + [2988] = {.lex_state = 39}, + [2989] = {.lex_state = 34}, + [2990] = {.lex_state = 34}, + [2991] = {.lex_state = 34}, + [2992] = {.lex_state = 34}, + [2993] = {.lex_state = 39}, + [2994] = {.lex_state = 34}, + [2995] = {.lex_state = 36}, + [2996] = {.lex_state = 34}, + [2997] = {.lex_state = 39}, + [2998] = {.lex_state = 7}, + [2999] = {.lex_state = 34}, + [3000] = {.lex_state = 34}, + [3001] = {.lex_state = 39}, + [3002] = {.lex_state = 34}, + [3003] = {.lex_state = 34}, + [3004] = {.lex_state = 34}, + [3005] = {.lex_state = 7}, + [3006] = {.lex_state = 36}, + [3007] = {.lex_state = 36}, + [3008] = {.lex_state = 39}, + [3009] = {.lex_state = 39}, + [3010] = {.lex_state = 34}, + [3011] = {.lex_state = 39}, + [3012] = {.lex_state = 39}, + [3013] = {.lex_state = 39}, + [3014] = {.lex_state = 39}, + [3015] = {.lex_state = 39}, + [3016] = {.lex_state = 39}, + [3017] = {.lex_state = 34}, + [3018] = {.lex_state = 34}, + [3019] = {.lex_state = 34}, + [3020] = {.lex_state = 34}, + [3021] = {.lex_state = 34}, + [3022] = {.lex_state = 39}, + [3023] = {.lex_state = 39}, + [3024] = {.lex_state = 39}, + [3025] = {.lex_state = 39}, + [3026] = {.lex_state = 39}, + [3027] = {.lex_state = 39}, + [3028] = {.lex_state = 39}, + [3029] = {.lex_state = 39}, + [3030] = {.lex_state = 39}, + [3031] = {.lex_state = 36}, + [3032] = {.lex_state = 39}, + [3033] = {.lex_state = 39}, + [3034] = {.lex_state = 39}, + [3035] = {.lex_state = 39}, + [3036] = {.lex_state = 39}, + [3037] = {.lex_state = 39}, + [3038] = {.lex_state = 36}, + [3039] = {.lex_state = 36}, + [3040] = {.lex_state = 34}, + [3041] = {.lex_state = 39}, + [3042] = {.lex_state = 39}, + [3043] = {.lex_state = 34}, + [3044] = {.lex_state = 34}, + [3045] = {.lex_state = 34}, + [3046] = {.lex_state = 39}, + [3047] = {.lex_state = 34}, + [3048] = {.lex_state = 34}, + [3049] = {.lex_state = 34}, + [3050] = {.lex_state = 39}, + [3051] = {.lex_state = 34}, + [3052] = {.lex_state = 34}, + [3053] = {.lex_state = 36}, + [3054] = {.lex_state = 36}, + [3055] = {.lex_state = 36}, + [3056] = {.lex_state = 39}, + [3057] = {.lex_state = 36}, + [3058] = {.lex_state = 34}, + [3059] = {.lex_state = 34}, + [3060] = {.lex_state = 36}, + [3061] = {.lex_state = 7}, + [3062] = {.lex_state = 36}, + [3063] = {.lex_state = 36}, + [3064] = {.lex_state = 36}, + [3065] = {.lex_state = 36}, + [3066] = {.lex_state = 36}, + [3067] = {.lex_state = 65}, + [3068] = {.lex_state = 7}, + [3069] = {.lex_state = 39}, + [3070] = {.lex_state = 36}, + [3071] = {.lex_state = 36}, + [3072] = {.lex_state = 39}, + [3073] = {.lex_state = 39}, + [3074] = {.lex_state = 39}, + [3075] = {.lex_state = 39}, + [3076] = {.lex_state = 39}, + [3077] = {.lex_state = 39}, + [3078] = {.lex_state = 39}, + [3079] = {.lex_state = 36}, + [3080] = {.lex_state = 39}, + [3081] = {.lex_state = 39}, + [3082] = {.lex_state = 39}, + [3083] = {.lex_state = 39}, + [3084] = {.lex_state = 36}, + [3085] = {.lex_state = 39}, + [3086] = {.lex_state = 36}, + [3087] = {.lex_state = 36}, + [3088] = {.lex_state = 65}, + [3089] = {.lex_state = 65}, + [3090] = {.lex_state = 36}, + [3091] = {.lex_state = 65}, + [3092] = {.lex_state = 65}, + [3093] = {.lex_state = 65}, + [3094] = {.lex_state = 65}, + [3095] = {.lex_state = 65}, + [3096] = {.lex_state = 7}, + [3097] = {.lex_state = 7}, + [3098] = {.lex_state = 39}, + [3099] = {.lex_state = 7}, + [3100] = {.lex_state = 7}, + [3101] = {.lex_state = 7}, + [3102] = {.lex_state = 7}, + [3103] = {.lex_state = 36}, + [3104] = {.lex_state = 36}, + [3105] = {.lex_state = 36}, + [3106] = {.lex_state = 65}, + [3107] = {.lex_state = 39}, + [3108] = {.lex_state = 36}, + [3109] = {.lex_state = 65}, + [3110] = {.lex_state = 36}, + [3111] = {.lex_state = 36}, + [3112] = {.lex_state = 36}, + [3113] = {.lex_state = 65}, + [3114] = {.lex_state = 65}, + [3115] = {.lex_state = 34}, + [3116] = {.lex_state = 65}, + [3117] = {.lex_state = 39}, + [3118] = {.lex_state = 36}, + [3119] = {.lex_state = 36}, + [3120] = {.lex_state = 65}, + [3121] = {.lex_state = 65}, + [3122] = {.lex_state = 7}, + [3123] = {.lex_state = 7}, + [3124] = {.lex_state = 7}, + [3125] = {.lex_state = 7}, + [3126] = {.lex_state = 7}, + [3127] = {.lex_state = 7}, + [3128] = {.lex_state = 7}, + [3129] = {.lex_state = 36}, + [3130] = {.lex_state = 36}, + [3131] = {.lex_state = 39}, + [3132] = {.lex_state = 36}, + [3133] = {.lex_state = 36}, + [3134] = {.lex_state = 39}, + [3135] = {.lex_state = 36}, + [3136] = {.lex_state = 39}, + [3137] = {.lex_state = 36}, + [3138] = {.lex_state = 39}, + [3139] = {.lex_state = 36}, + [3140] = {.lex_state = 36}, + [3141] = {.lex_state = 39}, + [3142] = {.lex_state = 36}, + [3143] = {.lex_state = 39}, + [3144] = {.lex_state = 36}, + [3145] = {.lex_state = 36}, + [3146] = {.lex_state = 39}, + [3147] = {.lex_state = 36}, + [3148] = {.lex_state = 34}, + [3149] = {.lex_state = 34}, + [3150] = {.lex_state = 36}, + [3151] = {.lex_state = 36}, + [3152] = {.lex_state = 36}, + [3153] = {.lex_state = 36}, + [3154] = {.lex_state = 34}, + [3155] = {.lex_state = 36}, + [3156] = {.lex_state = 36}, + [3157] = {.lex_state = 36}, + [3158] = {.lex_state = 34}, + [3159] = {.lex_state = 36}, + [3160] = {.lex_state = 36}, + [3161] = {.lex_state = 36}, + [3162] = {.lex_state = 39}, + [3163] = {.lex_state = 36}, + [3164] = {.lex_state = 36}, + [3165] = {.lex_state = 39}, + [3166] = {.lex_state = 34}, + [3167] = {.lex_state = 36}, + [3168] = {.lex_state = 39}, + [3169] = {.lex_state = 39}, + [3170] = {.lex_state = 34}, + [3171] = {.lex_state = 36}, + [3172] = {.lex_state = 39}, + [3173] = {.lex_state = 39}, + [3174] = {.lex_state = 39}, + [3175] = {.lex_state = 36}, + [3176] = {.lex_state = 36}, + [3177] = {.lex_state = 39}, + [3178] = {.lex_state = 36}, + [3179] = {.lex_state = 36}, + [3180] = {.lex_state = 36}, + [3181] = {.lex_state = 36}, + [3182] = {.lex_state = 36}, + [3183] = {.lex_state = 65}, + [3184] = {.lex_state = 39}, + [3185] = {.lex_state = 36}, + [3186] = {.lex_state = 39}, + [3187] = {.lex_state = 36}, + [3188] = {.lex_state = 36}, + [3189] = {.lex_state = 36}, + [3190] = {.lex_state = 36}, + [3191] = {.lex_state = 36}, + [3192] = {.lex_state = 36}, + [3193] = {.lex_state = 36}, + [3194] = {.lex_state = 36}, + [3195] = {.lex_state = 39}, + [3196] = {.lex_state = 36}, + [3197] = {.lex_state = 39}, + [3198] = {.lex_state = 36}, + [3199] = {.lex_state = 36}, + [3200] = {.lex_state = 36}, + [3201] = {.lex_state = 36}, + [3202] = {.lex_state = 36}, + [3203] = {.lex_state = 36}, + [3204] = {.lex_state = 36}, + [3205] = {.lex_state = 36}, + [3206] = {.lex_state = 36}, + [3207] = {.lex_state = 36}, + [3208] = {.lex_state = 36}, + [3209] = {.lex_state = 36}, + [3210] = {.lex_state = 36}, + [3211] = {.lex_state = 36}, + [3212] = {.lex_state = 36}, + [3213] = {.lex_state = 34}, + [3214] = {.lex_state = 34}, + [3215] = {.lex_state = 34}, + [3216] = {.lex_state = 34}, + [3217] = {.lex_state = 36}, + [3218] = {.lex_state = 36}, + [3219] = {.lex_state = 34}, + [3220] = {.lex_state = 36}, + [3221] = {.lex_state = 34}, + [3222] = {.lex_state = 34}, + [3223] = {.lex_state = 34}, + [3224] = {.lex_state = 34}, + [3225] = {.lex_state = 34}, + [3226] = {.lex_state = 34}, + [3227] = {.lex_state = 34}, + [3228] = {.lex_state = 34}, + [3229] = {.lex_state = 36}, + [3230] = {.lex_state = 36}, + [3231] = {.lex_state = 36}, + [3232] = {.lex_state = 36}, + [3233] = {.lex_state = 36}, + [3234] = {.lex_state = 36}, + [3235] = {.lex_state = 34}, + [3236] = {.lex_state = 36}, + [3237] = {.lex_state = 36}, + [3238] = {.lex_state = 36}, + [3239] = {.lex_state = 34}, + [3240] = {.lex_state = 36}, + [3241] = {.lex_state = 36}, + [3242] = {.lex_state = 36}, + [3243] = {.lex_state = 36}, + [3244] = {.lex_state = 36}, + [3245] = {.lex_state = 36}, + [3246] = {.lex_state = 36}, + [3247] = {.lex_state = 36}, + [3248] = {.lex_state = 36}, + [3249] = {.lex_state = 36}, + [3250] = {.lex_state = 34}, + [3251] = {.lex_state = 34}, + [3252] = {.lex_state = 34}, + [3253] = {.lex_state = 34}, + [3254] = {.lex_state = 34}, + [3255] = {.lex_state = 34}, + [3256] = {.lex_state = 36}, + [3257] = {.lex_state = 36}, + [3258] = {.lex_state = 34}, + [3259] = {.lex_state = 36}, + [3260] = {.lex_state = 36}, + [3261] = {.lex_state = 36}, + [3262] = {.lex_state = 36}, + [3263] = {.lex_state = 36}, + [3264] = {.lex_state = 36}, + [3265] = {.lex_state = 36}, + [3266] = {.lex_state = 36}, + [3267] = {.lex_state = 36}, + [3268] = {.lex_state = 36}, + [3269] = {.lex_state = 36}, + [3270] = {.lex_state = 36}, + [3271] = {.lex_state = 36}, + [3272] = {.lex_state = 34}, + [3273] = {.lex_state = 36}, + [3274] = {.lex_state = 36}, + [3275] = {.lex_state = 36}, + [3276] = {.lex_state = 34}, + [3277] = {.lex_state = 36}, + [3278] = {.lex_state = 36}, + [3279] = {.lex_state = 36}, + [3280] = {.lex_state = 36}, + [3281] = {.lex_state = 36}, + [3282] = {.lex_state = 65}, + [3283] = {.lex_state = 36}, + [3284] = {.lex_state = 36}, + [3285] = {.lex_state = 36}, + [3286] = {.lex_state = 39}, + [3287] = {.lex_state = 39}, + [3288] = {.lex_state = 36}, + [3289] = {.lex_state = 36}, + [3290] = {.lex_state = 36}, + [3291] = {.lex_state = 36}, + [3292] = {.lex_state = 36}, + [3293] = {.lex_state = 36}, + [3294] = {.lex_state = 36}, + [3295] = {.lex_state = 36}, + [3296] = {.lex_state = 36}, + [3297] = {.lex_state = 36}, + [3298] = {.lex_state = 36}, + [3299] = {.lex_state = 36}, + [3300] = {.lex_state = 36}, + [3301] = {.lex_state = 36}, + [3302] = {.lex_state = 36}, + [3303] = {.lex_state = 36}, + [3304] = {.lex_state = 36}, + [3305] = {.lex_state = 36}, + [3306] = {.lex_state = 36}, + [3307] = {.lex_state = 36}, + [3308] = {.lex_state = 36}, + [3309] = {.lex_state = 36}, + [3310] = {.lex_state = 65}, + [3311] = {.lex_state = 36}, + [3312] = {.lex_state = 36}, + [3313] = {.lex_state = 36}, + [3314] = {.lex_state = 36}, + [3315] = {.lex_state = 36}, + [3316] = {.lex_state = 65}, + [3317] = {.lex_state = 36}, + [3318] = {.lex_state = 36}, + [3319] = {.lex_state = 36}, + [3320] = {.lex_state = 36}, + [3321] = {.lex_state = 36}, + [3322] = {.lex_state = 36}, + [3323] = {.lex_state = 36}, + [3324] = {.lex_state = 36}, + [3325] = {.lex_state = 36}, + [3326] = {.lex_state = 36}, + [3327] = {.lex_state = 36}, + [3328] = {.lex_state = 36}, + [3329] = {.lex_state = 36}, + [3330] = {.lex_state = 36}, + [3331] = {.lex_state = 36}, + [3332] = {.lex_state = 65}, + [3333] = {.lex_state = 36}, + [3334] = {.lex_state = 36}, + [3335] = {.lex_state = 65}, + [3336] = {.lex_state = 34}, + [3337] = {.lex_state = 36}, + [3338] = {.lex_state = 36}, + [3339] = {.lex_state = 36}, + [3340] = {.lex_state = 36}, + [3341] = {.lex_state = 36}, + [3342] = {.lex_state = 36}, + [3343] = {.lex_state = 36}, + [3344] = {.lex_state = 36}, + [3345] = {.lex_state = 36}, + [3346] = {.lex_state = 36}, + [3347] = {.lex_state = 36}, + [3348] = {.lex_state = 36}, + [3349] = {.lex_state = 36}, + [3350] = {.lex_state = 36}, + [3351] = {.lex_state = 36}, + [3352] = {.lex_state = 36}, + [3353] = {.lex_state = 36}, + [3354] = {.lex_state = 36}, + [3355] = {.lex_state = 36}, + [3356] = {.lex_state = 65}, + [3357] = {.lex_state = 36}, + [3358] = {.lex_state = 36}, + [3359] = {.lex_state = 36}, + [3360] = {.lex_state = 34}, + [3361] = {.lex_state = 65}, + [3362] = {.lex_state = 36}, + [3363] = {.lex_state = 36}, + [3364] = {.lex_state = 36}, + [3365] = {.lex_state = 65}, + [3366] = {.lex_state = 36}, + [3367] = {.lex_state = 36}, + [3368] = {.lex_state = 36}, + [3369] = {.lex_state = 36}, + [3370] = {.lex_state = 34}, + [3371] = {.lex_state = 65}, + [3372] = {.lex_state = 36}, + [3373] = {.lex_state = 36}, + [3374] = {.lex_state = 36}, + [3375] = {.lex_state = 36}, + [3376] = {.lex_state = 36}, + [3377] = {.lex_state = 36}, + [3378] = {.lex_state = 36}, + [3379] = {.lex_state = 36}, + [3380] = {.lex_state = 36}, + [3381] = {.lex_state = 36}, + [3382] = {.lex_state = 34}, + [3383] = {.lex_state = 36}, + [3384] = {.lex_state = 36}, + [3385] = {.lex_state = 36}, + [3386] = {.lex_state = 65}, + [3387] = {.lex_state = 36}, + [3388] = {.lex_state = 36}, + [3389] = {.lex_state = 36}, + [3390] = {.lex_state = 36}, + [3391] = {.lex_state = 36}, + [3392] = {.lex_state = 36}, + [3393] = {.lex_state = 36}, + [3394] = {.lex_state = 65}, + [3395] = {.lex_state = 36}, + [3396] = {.lex_state = 36}, + [3397] = {.lex_state = 36}, + [3398] = {.lex_state = 36}, + [3399] = {.lex_state = 36}, + [3400] = {.lex_state = 36}, + [3401] = {.lex_state = 36}, + [3402] = {.lex_state = 34}, + [3403] = {.lex_state = 34}, + [3404] = {.lex_state = 1}, + [3405] = {.lex_state = 34}, + [3406] = {.lex_state = 34}, + [3407] = {.lex_state = 34}, + [3408] = {.lex_state = 34}, + [3409] = {.lex_state = 34}, + [3410] = {.lex_state = 34}, + [3411] = {.lex_state = 34}, + [3412] = {.lex_state = 34}, + [3413] = {.lex_state = 36}, + [3414] = {.lex_state = 34}, + [3415] = {.lex_state = 36}, + [3416] = {.lex_state = 34}, + [3417] = {.lex_state = 34}, + [3418] = {.lex_state = 1}, + [3419] = {.lex_state = 34}, + [3420] = {.lex_state = 34}, + [3421] = {.lex_state = 34}, + [3422] = {.lex_state = 34}, + [3423] = {.lex_state = 34}, + [3424] = {.lex_state = 34}, + [3425] = {.lex_state = 34}, + [3426] = {.lex_state = 34}, + [3427] = {.lex_state = 34}, + [3428] = {.lex_state = 65}, + [3429] = {.lex_state = 34}, + [3430] = {.lex_state = 34}, + [3431] = {.lex_state = 34}, + [3432] = {.lex_state = 34}, + [3433] = {.lex_state = 34}, + [3434] = {.lex_state = 36}, + [3435] = {.lex_state = 34}, + [3436] = {.lex_state = 34}, + [3437] = {.lex_state = 34}, + [3438] = {.lex_state = 65}, + [3439] = {.lex_state = 34}, + [3440] = {.lex_state = 65}, + [3441] = {.lex_state = 65}, + [3442] = {.lex_state = 65}, + [3443] = {.lex_state = 36}, + [3444] = {.lex_state = 65}, + [3445] = {.lex_state = 34}, + [3446] = {.lex_state = 34}, + [3447] = {.lex_state = 65}, + [3448] = {.lex_state = 34}, + [3449] = {.lex_state = 36}, + [3450] = {.lex_state = 65}, + [3451] = {.lex_state = 65}, + [3452] = {.lex_state = 34}, + [3453] = {.lex_state = 65}, + [3454] = {.lex_state = 34}, + [3455] = {.lex_state = 34}, + [3456] = {.lex_state = 34}, + [3457] = {.lex_state = 65}, + [3458] = {.lex_state = 65}, + [3459] = {.lex_state = 65}, + [3460] = {.lex_state = 34}, + [3461] = {.lex_state = 65}, + [3462] = {.lex_state = 34}, + [3463] = {.lex_state = 65}, + [3464] = {.lex_state = 65}, + [3465] = {.lex_state = 65}, + [3466] = {.lex_state = 65}, + [3467] = {.lex_state = 65}, + [3468] = {.lex_state = 65}, + [3469] = {.lex_state = 65}, + [3470] = {.lex_state = 65}, + [3471] = {.lex_state = 65}, + [3472] = {.lex_state = 65}, + [3473] = {.lex_state = 65}, + [3474] = {.lex_state = 65}, + [3475] = {.lex_state = 65}, + [3476] = {.lex_state = 65}, + [3477] = {.lex_state = 65}, + [3478] = {.lex_state = 65}, + [3479] = {.lex_state = 65}, + [3480] = {.lex_state = 65}, + [3481] = {.lex_state = 65}, + [3482] = {.lex_state = 65}, + [3483] = {.lex_state = 65}, + [3484] = {.lex_state = 65}, + [3485] = {.lex_state = 65}, + [3486] = {.lex_state = 65}, + [3487] = {.lex_state = 65}, + [3488] = {.lex_state = 65}, + [3489] = {.lex_state = 65}, + [3490] = {.lex_state = 65}, + [3491] = {.lex_state = 65}, + [3492] = {.lex_state = 65}, + [3493] = {.lex_state = 65}, + [3494] = {.lex_state = 65}, + [3495] = {.lex_state = 65}, + [3496] = {.lex_state = 65}, + [3497] = {.lex_state = 65}, + [3498] = {.lex_state = 65}, + [3499] = {.lex_state = 65}, + [3500] = {.lex_state = 65}, + [3501] = {.lex_state = 65}, + [3502] = {.lex_state = 65}, + [3503] = {.lex_state = 65}, + [3504] = {.lex_state = 65}, + [3505] = {.lex_state = 65}, + [3506] = {.lex_state = 65}, + [3507] = {.lex_state = 65}, + [3508] = {.lex_state = 65}, + [3509] = {.lex_state = 65}, + [3510] = {.lex_state = 65}, + [3511] = {.lex_state = 65}, + [3512] = {.lex_state = 65}, + [3513] = {.lex_state = 65}, + [3514] = {.lex_state = 65}, + [3515] = {.lex_state = 65}, + [3516] = {.lex_state = 65}, + [3517] = {.lex_state = 65}, + [3518] = {.lex_state = 65}, + [3519] = {.lex_state = 65}, + [3520] = {.lex_state = 65}, + [3521] = {.lex_state = 65}, + [3522] = {.lex_state = 65}, + [3523] = {.lex_state = 65}, + [3524] = {.lex_state = 65}, + [3525] = {.lex_state = 65}, + [3526] = {.lex_state = 65}, + [3527] = {.lex_state = 65}, + [3528] = {.lex_state = 65}, + [3529] = {.lex_state = 65}, + [3530] = {.lex_state = 65}, + [3531] = {.lex_state = 65}, + [3532] = {.lex_state = 65}, + [3533] = {.lex_state = 65}, + [3534] = {.lex_state = 65}, + [3535] = {.lex_state = 65}, + [3536] = {.lex_state = 65}, + [3537] = {.lex_state = 65}, + [3538] = {.lex_state = 65}, + [3539] = {.lex_state = 65}, + [3540] = {.lex_state = 65}, + [3541] = {.lex_state = 65}, + [3542] = {.lex_state = 65}, + [3543] = {.lex_state = 65}, + [3544] = {.lex_state = 65}, + [3545] = {.lex_state = 65}, + [3546] = {.lex_state = 65}, + [3547] = {.lex_state = 65}, + [3548] = {.lex_state = 65}, + [3549] = {.lex_state = 65}, + [3550] = {.lex_state = 65}, + [3551] = {.lex_state = 65}, + [3552] = {.lex_state = 65}, + [3553] = {.lex_state = 65}, + [3554] = {.lex_state = 65}, + [3555] = {.lex_state = 65}, + [3556] = {.lex_state = 65}, + [3557] = {.lex_state = 65}, + [3558] = {.lex_state = 65}, + [3559] = {.lex_state = 65}, + [3560] = {.lex_state = 65}, + [3561] = {.lex_state = 65}, + [3562] = {.lex_state = 65}, + [3563] = {.lex_state = 65}, + [3564] = {.lex_state = 65}, + [3565] = {.lex_state = 65}, + [3566] = {.lex_state = 65}, + [3567] = {.lex_state = 65}, + [3568] = {.lex_state = 65}, + [3569] = {.lex_state = 65}, + [3570] = {.lex_state = 65}, + [3571] = {.lex_state = 65}, + [3572] = {.lex_state = 65}, + [3573] = {.lex_state = 65}, + [3574] = {.lex_state = 65}, + [3575] = {.lex_state = 36}, + [3576] = {.lex_state = 36}, + [3577] = {.lex_state = 65}, + [3578] = {.lex_state = 65}, + [3579] = {.lex_state = 65}, + [3580] = {.lex_state = 65}, + [3581] = {.lex_state = 65}, + [3582] = {.lex_state = 65}, + [3583] = {.lex_state = 65}, + [3584] = {.lex_state = 65}, + [3585] = {.lex_state = 65}, + [3586] = {.lex_state = 65}, + [3587] = {.lex_state = 65}, + [3588] = {.lex_state = 65}, + [3589] = {.lex_state = 65}, + [3590] = {.lex_state = 65}, + [3591] = {.lex_state = 36}, + [3592] = {.lex_state = 36}, + [3593] = {.lex_state = 36}, + [3594] = {.lex_state = 36}, + [3595] = {.lex_state = 36}, + [3596] = {.lex_state = 36}, + [3597] = {.lex_state = 36}, + [3598] = {.lex_state = 36}, + [3599] = {.lex_state = 65}, + [3600] = {.lex_state = 36}, + [3601] = {.lex_state = 36}, + [3602] = {.lex_state = 36}, + [3603] = {.lex_state = 36}, + [3604] = {.lex_state = 36}, + [3605] = {.lex_state = 36}, + [3606] = {.lex_state = 65}, + [3607] = {.lex_state = 36}, + [3608] = {.lex_state = 36}, + [3609] = {.lex_state = 36}, + [3610] = {.lex_state = 36}, + [3611] = {.lex_state = 36}, + [3612] = {.lex_state = 36}, + [3613] = {.lex_state = 36}, + [3614] = {.lex_state = 36}, + [3615] = {.lex_state = 36}, + [3616] = {.lex_state = 36}, + [3617] = {.lex_state = 36}, + [3618] = {.lex_state = 36}, + [3619] = {.lex_state = 36}, + [3620] = {.lex_state = 36}, + [3621] = {.lex_state = 36}, + [3622] = {.lex_state = 36}, + [3623] = {.lex_state = 36}, + [3624] = {.lex_state = 65}, + [3625] = {.lex_state = 36}, + [3626] = {.lex_state = 36}, + [3627] = {.lex_state = 36}, + [3628] = {.lex_state = 36}, + [3629] = {.lex_state = 36}, + [3630] = {.lex_state = 36}, + [3631] = {.lex_state = 36}, + [3632] = {.lex_state = 36}, + [3633] = {.lex_state = 36}, + [3634] = {.lex_state = 36}, + [3635] = {.lex_state = 36}, + [3636] = {.lex_state = 36}, + [3637] = {.lex_state = 36}, + [3638] = {.lex_state = 65}, + [3639] = {.lex_state = 65}, + [3640] = {.lex_state = 65}, + [3641] = {.lex_state = 65}, + [3642] = {.lex_state = 65}, + [3643] = {.lex_state = 65}, + [3644] = {.lex_state = 65}, + [3645] = {.lex_state = 65}, + [3646] = {.lex_state = 25}, + [3647] = {.lex_state = 25}, + [3648] = {.lex_state = 1}, + [3649] = {.lex_state = 1}, + [3650] = {.lex_state = 25}, + [3651] = {.lex_state = 1}, + [3652] = {.lex_state = 25}, + [3653] = {.lex_state = 25}, + [3654] = {.lex_state = 1}, + [3655] = {.lex_state = 1}, + [3656] = {.lex_state = 1}, + [3657] = {.lex_state = 1}, + [3658] = {.lex_state = 19}, + [3659] = {.lex_state = 65}, + [3660] = {.lex_state = 65}, + [3661] = {.lex_state = 65}, + [3662] = {.lex_state = 65}, + [3663] = {.lex_state = 65}, + [3664] = {.lex_state = 25}, + [3665] = {.lex_state = 65}, + [3666] = {.lex_state = 25}, + [3667] = {.lex_state = 25}, + [3668] = {.lex_state = 65}, + [3669] = {.lex_state = 65}, + [3670] = {.lex_state = 65}, + [3671] = {.lex_state = 25}, + [3672] = {.lex_state = 25}, + [3673] = {.lex_state = 65}, + [3674] = {.lex_state = 25}, + [3675] = {.lex_state = 25}, + [3676] = {.lex_state = 25}, + [3677] = {.lex_state = 25}, + [3678] = {.lex_state = 25}, + [3679] = {.lex_state = 25}, + [3680] = {.lex_state = 25}, + [3681] = {.lex_state = 25}, + [3682] = {.lex_state = 25}, + [3683] = {.lex_state = 25}, + [3684] = {.lex_state = 25}, + [3685] = {.lex_state = 25}, + [3686] = {.lex_state = 25}, + [3687] = {.lex_state = 25}, + [3688] = {.lex_state = 25}, + [3689] = {.lex_state = 25}, + [3690] = {.lex_state = 25}, + [3691] = {.lex_state = 65}, + [3692] = {.lex_state = 65}, + [3693] = {.lex_state = 65}, + [3694] = {.lex_state = 25}, + [3695] = {.lex_state = 65}, + [3696] = {.lex_state = 65}, + [3697] = {.lex_state = 65}, + [3698] = {.lex_state = 65}, + [3699] = {.lex_state = 30}, + [3700] = {.lex_state = 65}, + [3701] = {.lex_state = 65}, + [3702] = {.lex_state = 65}, + [3703] = {.lex_state = 30}, + [3704] = {.lex_state = 65}, + [3705] = {.lex_state = 65}, + [3706] = {.lex_state = 65}, + [3707] = {.lex_state = 65}, + [3708] = {.lex_state = 65}, + [3709] = {.lex_state = 65}, + [3710] = {.lex_state = 65}, + [3711] = {.lex_state = 30}, + [3712] = {.lex_state = 30}, + [3713] = {.lex_state = 30}, + [3714] = {.lex_state = 65}, + [3715] = {.lex_state = 65}, + [3716] = {.lex_state = 30}, + [3717] = {.lex_state = 65}, + [3718] = {.lex_state = 65}, + [3719] = {.lex_state = 65}, + [3720] = {.lex_state = 65}, + [3721] = {.lex_state = 65}, + [3722] = {.lex_state = 65}, + [3723] = {.lex_state = 65}, + [3724] = {.lex_state = 65}, + [3725] = {.lex_state = 65}, + [3726] = {.lex_state = 65}, + [3727] = {.lex_state = 65}, + [3728] = {.lex_state = 65}, + [3729] = {.lex_state = 65}, + [3730] = {.lex_state = 65}, + [3731] = {.lex_state = 65}, + [3732] = {.lex_state = 65}, + [3733] = {.lex_state = 65}, + [3734] = {.lex_state = 65}, + [3735] = {.lex_state = 65}, + [3736] = {.lex_state = 65}, + [3737] = {.lex_state = 65}, + [3738] = {.lex_state = 65}, + [3739] = {.lex_state = 65}, + [3740] = {.lex_state = 65}, + [3741] = {.lex_state = 65}, + [3742] = {.lex_state = 65}, + [3743] = {.lex_state = 65}, + [3744] = {.lex_state = 65}, + [3745] = {.lex_state = 65}, + [3746] = {.lex_state = 65}, + [3747] = {.lex_state = 65}, + [3748] = {.lex_state = 65}, + [3749] = {.lex_state = 65}, + [3750] = {.lex_state = 65}, + [3751] = {.lex_state = 65}, + [3752] = {.lex_state = 65}, + [3753] = {.lex_state = 19}, + [3754] = {.lex_state = 65}, + [3755] = {.lex_state = 65}, + [3756] = {.lex_state = 65}, + [3757] = {.lex_state = 65}, + [3758] = {.lex_state = 65}, + [3759] = {.lex_state = 65}, + [3760] = {.lex_state = 65}, + [3761] = {.lex_state = 65}, + [3762] = {.lex_state = 65}, + [3763] = {.lex_state = 65}, + [3764] = {.lex_state = 65}, + [3765] = {.lex_state = 65}, + [3766] = {.lex_state = 65}, + [3767] = {.lex_state = 65}, + [3768] = {.lex_state = 65}, + [3769] = {.lex_state = 65}, + [3770] = {.lex_state = 65}, + [3771] = {.lex_state = 65}, + [3772] = {.lex_state = 65}, + [3773] = {.lex_state = 65}, + [3774] = {.lex_state = 65}, + [3775] = {.lex_state = 65}, + [3776] = {.lex_state = 65}, + [3777] = {.lex_state = 65}, + [3778] = {.lex_state = 65}, + [3779] = {.lex_state = 65}, + [3780] = {.lex_state = 65}, + [3781] = {.lex_state = 65}, + [3782] = {.lex_state = 25}, + [3783] = {.lex_state = 65}, + [3784] = {.lex_state = 36}, + [3785] = {.lex_state = 65}, + [3786] = {.lex_state = 65}, + [3787] = {.lex_state = 65}, + [3788] = {.lex_state = 65}, + [3789] = {.lex_state = 65}, + [3790] = {.lex_state = 65}, + [3791] = {.lex_state = 65}, + [3792] = {.lex_state = 65}, + [3793] = {.lex_state = 5}, + [3794] = {.lex_state = 65}, + [3795] = {.lex_state = 65}, + [3796] = {.lex_state = 65}, + [3797] = {.lex_state = 5}, + [3798] = {.lex_state = 5}, + [3799] = {.lex_state = 36}, + [3800] = {.lex_state = 5}, + [3801] = {.lex_state = 25}, + [3802] = {.lex_state = 25}, + [3803] = {.lex_state = 65}, + [3804] = {.lex_state = 65}, + [3805] = {.lex_state = 65}, + [3806] = {.lex_state = 65}, + [3807] = {.lex_state = 65}, + [3808] = {.lex_state = 65}, + [3809] = {.lex_state = 5}, + [3810] = {.lex_state = 65}, + [3811] = {.lex_state = 65}, + [3812] = {.lex_state = 5}, + [3813] = {.lex_state = 5}, + [3814] = {.lex_state = 22}, + [3815] = {.lex_state = 65}, + [3816] = {.lex_state = 5}, + [3817] = {.lex_state = 5}, + [3818] = {.lex_state = 65}, + [3819] = {.lex_state = 65}, + [3820] = {.lex_state = 65}, + [3821] = {.lex_state = 65}, + [3822] = {.lex_state = 65}, + [3823] = {.lex_state = 65}, + [3824] = {.lex_state = 65}, + [3825] = {.lex_state = 5}, + [3826] = {.lex_state = 5}, + [3827] = {.lex_state = 22}, + [3828] = {.lex_state = 65}, + [3829] = {.lex_state = 65}, + [3830] = {.lex_state = 5}, + [3831] = {.lex_state = 65}, + [3832] = {.lex_state = 5}, + [3833] = {.lex_state = 65}, + [3834] = {.lex_state = 65}, + [3835] = {.lex_state = 5}, + [3836] = {.lex_state = 5}, + [3837] = {.lex_state = 5}, + [3838] = {.lex_state = 22}, + [3839] = {.lex_state = 22}, + [3840] = {.lex_state = 22}, + [3841] = {.lex_state = 65}, + [3842] = {.lex_state = 22}, + [3843] = {.lex_state = 22}, + [3844] = {.lex_state = 22}, + [3845] = {.lex_state = 22}, + [3846] = {.lex_state = 22}, + [3847] = {.lex_state = 5}, + [3848] = {.lex_state = 65}, + [3849] = {.lex_state = 22}, + [3850] = {.lex_state = 25}, + [3851] = {.lex_state = 25}, + [3852] = {.lex_state = 22}, + [3853] = {.lex_state = 5}, + [3854] = {.lex_state = 22}, + [3855] = {.lex_state = 22}, + [3856] = {.lex_state = 22}, + [3857] = {.lex_state = 65}, + [3858] = {.lex_state = 22}, + [3859] = {.lex_state = 22}, + [3860] = {.lex_state = 22}, + [3861] = {.lex_state = 22}, + [3862] = {.lex_state = 65}, + [3863] = {.lex_state = 22}, + [3864] = {.lex_state = 22}, + [3865] = {.lex_state = 65}, + [3866] = {.lex_state = 22}, + [3867] = {.lex_state = 65}, + [3868] = {.lex_state = 22}, + [3869] = {.lex_state = 22}, + [3870] = {.lex_state = 5}, + [3871] = {.lex_state = 22}, + [3872] = {.lex_state = 22}, + [3873] = {.lex_state = 22}, + [3874] = {.lex_state = 22}, + [3875] = {.lex_state = 22}, + [3876] = {.lex_state = 65}, + [3877] = {.lex_state = 65}, + [3878] = {.lex_state = 65}, + [3879] = {.lex_state = 65}, + [3880] = {.lex_state = 22}, + [3881] = {.lex_state = 65}, + [3882] = {.lex_state = 65}, + [3883] = {.lex_state = 22}, + [3884] = {.lex_state = 5}, + [3885] = {.lex_state = 65}, + [3886] = {.lex_state = 65}, + [3887] = {.lex_state = 36}, + [3888] = {.lex_state = 65}, + [3889] = {.lex_state = 5}, + [3890] = {.lex_state = 65}, + [3891] = {.lex_state = 65}, + [3892] = {.lex_state = 65}, + [3893] = {.lex_state = 22}, + [3894] = {.lex_state = 22}, + [3895] = {.lex_state = 65}, + [3896] = {.lex_state = 22}, + [3897] = {.lex_state = 22}, + [3898] = {.lex_state = 65}, + [3899] = {.lex_state = 65}, + [3900] = {.lex_state = 65}, + [3901] = {.lex_state = 22}, + [3902] = {.lex_state = 65}, + [3903] = {.lex_state = 65}, + [3904] = {.lex_state = 65}, + [3905] = {.lex_state = 65}, + [3906] = {.lex_state = 22}, + [3907] = {.lex_state = 22}, + [3908] = {.lex_state = 36}, + [3909] = {.lex_state = 22}, + [3910] = {.lex_state = 65}, + [3911] = {.lex_state = 65}, + [3912] = {.lex_state = 22}, + [3913] = {.lex_state = 65}, + [3914] = {.lex_state = 22}, + [3915] = {.lex_state = 65}, + [3916] = {.lex_state = 65}, + [3917] = {.lex_state = 22}, + [3918] = {.lex_state = 22}, + [3919] = {.lex_state = 22}, + [3920] = {.lex_state = 65}, + [3921] = {.lex_state = 65}, + [3922] = {.lex_state = 22}, + [3923] = {.lex_state = 22}, + [3924] = {.lex_state = 22}, + [3925] = {.lex_state = 36}, + [3926] = {.lex_state = 65}, + [3927] = {.lex_state = 65}, + [3928] = {.lex_state = 65}, + [3929] = {.lex_state = 65}, + [3930] = {.lex_state = 65}, + [3931] = {.lex_state = 65}, + [3932] = {.lex_state = 65}, + [3933] = {.lex_state = 65}, + [3934] = {.lex_state = 36}, + [3935] = {.lex_state = 65}, + [3936] = {.lex_state = 36}, + [3937] = {.lex_state = 22}, + [3938] = {.lex_state = 65}, + [3939] = {.lex_state = 65}, + [3940] = {.lex_state = 22}, + [3941] = {.lex_state = 22}, + [3942] = {.lex_state = 22}, + [3943] = {.lex_state = 22}, + [3944] = {.lex_state = 65}, + [3945] = {.lex_state = 36}, + [3946] = {.lex_state = 22}, + [3947] = {.lex_state = 22}, + [3948] = {.lex_state = 65}, + [3949] = {.lex_state = 65}, + [3950] = {.lex_state = 22}, + [3951] = {.lex_state = 65}, + [3952] = {.lex_state = 22}, + [3953] = {.lex_state = 22}, + [3954] = {.lex_state = 65}, + [3955] = {.lex_state = 65}, + [3956] = {.lex_state = 65}, + [3957] = {.lex_state = 65}, + [3958] = {.lex_state = 22}, + [3959] = {.lex_state = 22}, + [3960] = {.lex_state = 65}, + [3961] = {.lex_state = 65}, + [3962] = {.lex_state = 65}, + [3963] = {.lex_state = 65}, + [3964] = {.lex_state = 65}, + [3965] = {.lex_state = 36}, + [3966] = {.lex_state = 65}, + [3967] = {.lex_state = 36}, + [3968] = {.lex_state = 36}, + [3969] = {.lex_state = 36}, + [3970] = {.lex_state = 65}, + [3971] = {.lex_state = 36}, + [3972] = {.lex_state = 65}, + [3973] = {.lex_state = 22}, + [3974] = {.lex_state = 22}, + [3975] = {.lex_state = 22}, + [3976] = {.lex_state = 65}, + [3977] = {.lex_state = 22}, + [3978] = {.lex_state = 22}, + [3979] = {.lex_state = 36}, + [3980] = {.lex_state = 22}, + [3981] = {.lex_state = 36}, + [3982] = {.lex_state = 22}, + [3983] = {.lex_state = 65}, + [3984] = {.lex_state = 36}, + [3985] = {.lex_state = 36}, + [3986] = {.lex_state = 36}, + [3987] = {.lex_state = 36}, + [3988] = {.lex_state = 36}, + [3989] = {.lex_state = 65}, + [3990] = {.lex_state = 65}, + [3991] = {.lex_state = 36}, + [3992] = {.lex_state = 22}, + [3993] = {.lex_state = 65}, + [3994] = {.lex_state = 19}, + [3995] = {.lex_state = 65}, + [3996] = {.lex_state = 65}, + [3997] = {.lex_state = 65}, + [3998] = {.lex_state = 5}, + [3999] = {.lex_state = 65}, + [4000] = {.lex_state = 65}, + [4001] = {.lex_state = 36}, + [4002] = {.lex_state = 36}, + [4003] = {.lex_state = 65}, + [4004] = {.lex_state = 65}, + [4005] = {.lex_state = 22}, + [4006] = {.lex_state = 65}, + [4007] = {.lex_state = 65}, + [4008] = {.lex_state = 65}, + [4009] = {.lex_state = 65}, + [4010] = {.lex_state = 22}, + [4011] = {.lex_state = 65}, + [4012] = {.lex_state = 65}, + [4013] = {.lex_state = 22}, + [4014] = {.lex_state = 65}, + [4015] = {.lex_state = 22}, + [4016] = {.lex_state = 65}, + [4017] = {.lex_state = 65}, + [4018] = {.lex_state = 22}, + [4019] = {.lex_state = 65}, + [4020] = {.lex_state = 22}, + [4021] = {.lex_state = 22}, + [4022] = {.lex_state = 22}, + [4023] = {.lex_state = 22}, + [4024] = {.lex_state = 22}, + [4025] = {.lex_state = 65}, + [4026] = {.lex_state = 65}, + [4027] = {.lex_state = 22}, + [4028] = {.lex_state = 22}, + [4029] = {.lex_state = 22}, + [4030] = {.lex_state = 22}, + [4031] = {.lex_state = 36}, + [4032] = {.lex_state = 65}, + [4033] = {.lex_state = 36}, + [4034] = {.lex_state = 65}, + [4035] = {.lex_state = 22}, + [4036] = {.lex_state = 65}, + [4037] = {.lex_state = 22}, + [4038] = {.lex_state = 22}, + [4039] = {.lex_state = 65}, + [4040] = {.lex_state = 65}, + [4041] = {.lex_state = 65}, + [4042] = {.lex_state = 65}, + [4043] = {.lex_state = 22}, + [4044] = {.lex_state = 22}, + [4045] = {.lex_state = 22}, + [4046] = {.lex_state = 22}, + [4047] = {.lex_state = 22}, + [4048] = {.lex_state = 65}, + [4049] = {.lex_state = 65}, + [4050] = {.lex_state = 22}, + [4051] = {.lex_state = 22}, + [4052] = {.lex_state = 65}, + [4053] = {.lex_state = 65}, + [4054] = {.lex_state = 22}, + [4055] = {.lex_state = 65}, + [4056] = {.lex_state = 65}, + [4057] = {.lex_state = 22}, + [4058] = {.lex_state = 22}, + [4059] = {.lex_state = 65}, + [4060] = {.lex_state = 22}, + [4061] = {.lex_state = 22}, + [4062] = {.lex_state = 22}, + [4063] = {.lex_state = 22}, + [4064] = {.lex_state = 65}, + [4065] = {.lex_state = 22}, + [4066] = {.lex_state = 65}, + [4067] = {.lex_state = 65}, + [4068] = {.lex_state = 65}, + [4069] = {.lex_state = 65}, + [4070] = {.lex_state = 22}, + [4071] = {.lex_state = 65}, + [4072] = {.lex_state = 22}, + [4073] = {.lex_state = 22}, + [4074] = {.lex_state = 22}, + [4075] = {.lex_state = 22}, + [4076] = {.lex_state = 22}, + [4077] = {.lex_state = 22}, + [4078] = {.lex_state = 22}, + [4079] = {.lex_state = 22}, + [4080] = {.lex_state = 22}, + [4081] = {.lex_state = 22}, + [4082] = {.lex_state = 22}, + [4083] = {.lex_state = 36}, + [4084] = {.lex_state = 22}, + [4085] = {.lex_state = 65}, + [4086] = {.lex_state = 22}, + [4087] = {.lex_state = 22}, + [4088] = {.lex_state = 36}, + [4089] = {.lex_state = 22}, + [4090] = {.lex_state = 22}, + [4091] = {.lex_state = 22}, + [4092] = {.lex_state = 36}, + [4093] = {.lex_state = 36}, + [4094] = {.lex_state = 22}, + [4095] = {.lex_state = 22}, + [4096] = {.lex_state = 22}, + [4097] = {.lex_state = 22}, + [4098] = {.lex_state = 22}, + [4099] = {.lex_state = 22}, + [4100] = {.lex_state = 22}, + [4101] = {.lex_state = 22}, + [4102] = {.lex_state = 22}, + [4103] = {.lex_state = 22}, + [4104] = {.lex_state = 19}, + [4105] = {.lex_state = 22}, + [4106] = {.lex_state = 22}, + [4107] = {.lex_state = 22}, + [4108] = {.lex_state = 22}, + [4109] = {.lex_state = 22}, + [4110] = {.lex_state = 22}, + [4111] = {.lex_state = 22}, + [4112] = {.lex_state = 22}, + [4113] = {.lex_state = 65}, + [4114] = {.lex_state = 22}, + [4115] = {.lex_state = 22}, + [4116] = {.lex_state = 22}, + [4117] = {.lex_state = 65}, + [4118] = {.lex_state = 22}, + [4119] = {.lex_state = 22}, + [4120] = {.lex_state = 65}, + [4121] = {.lex_state = 65}, + [4122] = {.lex_state = 65}, + [4123] = {.lex_state = 65}, + [4124] = {.lex_state = 65}, + [4125] = {.lex_state = 22}, + [4126] = {.lex_state = 65}, + [4127] = {.lex_state = 65}, + [4128] = {.lex_state = 22}, + [4129] = {.lex_state = 22}, + [4130] = {.lex_state = 22}, + [4131] = {.lex_state = 65}, + [4132] = {.lex_state = 65}, + [4133] = {.lex_state = 65}, + [4134] = {.lex_state = 65}, + [4135] = {.lex_state = 65}, + [4136] = {.lex_state = 22}, + [4137] = {.lex_state = 22}, + [4138] = {.lex_state = 22}, + [4139] = {.lex_state = 65}, + [4140] = {.lex_state = 22}, + [4141] = {.lex_state = 22}, + [4142] = {.lex_state = 22}, + [4143] = {.lex_state = 22}, + [4144] = {.lex_state = 22}, + [4145] = {.lex_state = 22}, + [4146] = {.lex_state = 22}, + [4147] = {.lex_state = 22}, + [4148] = {.lex_state = 22}, + [4149] = {.lex_state = 22}, + [4150] = {.lex_state = 22}, + [4151] = {.lex_state = 22}, + [4152] = {.lex_state = 22}, + [4153] = {.lex_state = 22}, + [4154] = {.lex_state = 22}, + [4155] = {.lex_state = 22}, + [4156] = {.lex_state = 65}, + [4157] = {.lex_state = 22}, + [4158] = {.lex_state = 22}, + [4159] = {.lex_state = 36}, + [4160] = {.lex_state = 36}, + [4161] = {.lex_state = 22}, + [4162] = {.lex_state = 22}, + [4163] = {.lex_state = 22}, + [4164] = {.lex_state = 36}, + [4165] = {.lex_state = 36}, + [4166] = {.lex_state = 65}, + [4167] = {.lex_state = 22}, + [4168] = {.lex_state = 22}, + [4169] = {.lex_state = 22}, + [4170] = {.lex_state = 65}, + [4171] = {.lex_state = 22}, + [4172] = {.lex_state = 22}, + [4173] = {.lex_state = 22}, + [4174] = {.lex_state = 22}, + [4175] = {.lex_state = 22}, + [4176] = {.lex_state = 22}, + [4177] = {.lex_state = 22}, + [4178] = {.lex_state = 65}, + [4179] = {.lex_state = 22}, + [4180] = {.lex_state = 22}, + [4181] = {.lex_state = 22}, + [4182] = {.lex_state = 22}, + [4183] = {.lex_state = 65}, + [4184] = {.lex_state = 65}, + [4185] = {.lex_state = 65}, + [4186] = {.lex_state = 65}, + [4187] = {.lex_state = 22}, + [4188] = {.lex_state = 65}, + [4189] = {.lex_state = 65}, + [4190] = {.lex_state = 65}, + [4191] = {.lex_state = 22}, + [4192] = {.lex_state = 65}, + [4193] = {.lex_state = 65}, + [4194] = {.lex_state = 22}, + [4195] = {.lex_state = 65}, + [4196] = {.lex_state = 22}, + [4197] = {.lex_state = 65}, + [4198] = {.lex_state = 22}, + [4199] = {.lex_state = 22}, + [4200] = {.lex_state = 65}, + [4201] = {.lex_state = 22}, + [4202] = {.lex_state = 22}, + [4203] = {.lex_state = 65}, + [4204] = {.lex_state = 22}, + [4205] = {.lex_state = 65}, + [4206] = {.lex_state = 36}, + [4207] = {.lex_state = 65}, + [4208] = {.lex_state = 65}, + [4209] = {.lex_state = 22}, + [4210] = {.lex_state = 22}, + [4211] = {.lex_state = 25}, + [4212] = {.lex_state = 22}, + [4213] = {.lex_state = 22}, + [4214] = {.lex_state = 22}, + [4215] = {.lex_state = 65}, + [4216] = {.lex_state = 22}, + [4217] = {.lex_state = 22}, + [4218] = {.lex_state = 22}, + [4219] = {.lex_state = 22}, + [4220] = {.lex_state = 22}, + [4221] = {.lex_state = 22}, + [4222] = {.lex_state = 25}, + [4223] = {.lex_state = 22}, + [4224] = {.lex_state = 22}, + [4225] = {.lex_state = 22}, + [4226] = {.lex_state = 22}, + [4227] = {.lex_state = 65}, + [4228] = {.lex_state = 22}, + [4229] = {.lex_state = 22}, + [4230] = {.lex_state = 22}, + [4231] = {.lex_state = 22}, + [4232] = {.lex_state = 22}, + [4233] = {.lex_state = 22}, + [4234] = {.lex_state = 36}, + [4235] = {.lex_state = 22}, + [4236] = {.lex_state = 25}, + [4237] = {.lex_state = 36}, + [4238] = {.lex_state = 36}, + [4239] = {.lex_state = 22}, + [4240] = {.lex_state = 22}, + [4241] = {.lex_state = 22}, + [4242] = {.lex_state = 22}, + [4243] = {.lex_state = 36}, + [4244] = {.lex_state = 22}, + [4245] = {.lex_state = 22}, + [4246] = {.lex_state = 65}, + [4247] = {.lex_state = 22}, + [4248] = {.lex_state = 65}, + [4249] = {.lex_state = 22}, + [4250] = {.lex_state = 22}, + [4251] = {.lex_state = 22}, + [4252] = {.lex_state = 25}, + [4253] = {.lex_state = 36}, + [4254] = {.lex_state = 36}, + [4255] = {.lex_state = 22}, + [4256] = {.lex_state = 25}, + [4257] = {.lex_state = 36}, + [4258] = {.lex_state = 22}, + [4259] = {.lex_state = 22}, + [4260] = {.lex_state = 22}, + [4261] = {.lex_state = 22}, + [4262] = {.lex_state = 22}, + [4263] = {.lex_state = 22}, + [4264] = {.lex_state = 22}, + [4265] = {.lex_state = 22}, + [4266] = {.lex_state = 22}, + [4267] = {.lex_state = 25}, + [4268] = {.lex_state = 65}, + [4269] = {.lex_state = 36}, + [4270] = {.lex_state = 22}, + [4271] = {.lex_state = 22}, + [4272] = {.lex_state = 22}, + [4273] = {.lex_state = 36}, + [4274] = {.lex_state = 36}, + [4275] = {.lex_state = 65}, + [4276] = {.lex_state = 65}, + [4277] = {.lex_state = 22}, + [4278] = {.lex_state = 22}, + [4279] = {.lex_state = 22}, + [4280] = {.lex_state = 22}, + [4281] = {.lex_state = 22}, + [4282] = {.lex_state = 22}, + [4283] = {.lex_state = 22}, + [4284] = {.lex_state = 22}, + [4285] = {.lex_state = 22}, + [4286] = {.lex_state = 65}, + [4287] = {.lex_state = 22}, + [4288] = {.lex_state = 65}, + [4289] = {.lex_state = 22}, + [4290] = {.lex_state = 22}, + [4291] = {.lex_state = 65}, + [4292] = {.lex_state = 65}, + [4293] = {.lex_state = 65}, + [4294] = {.lex_state = 36}, + [4295] = {.lex_state = 22}, + [4296] = {.lex_state = 65}, + [4297] = {.lex_state = 22}, + [4298] = {.lex_state = 65}, + [4299] = {.lex_state = 22}, + [4300] = {.lex_state = 22}, + [4301] = {.lex_state = 22}, + [4302] = {.lex_state = 36}, + [4303] = {.lex_state = 36}, + [4304] = {.lex_state = 22}, + [4305] = {.lex_state = 65}, + [4306] = {.lex_state = 22}, + [4307] = {.lex_state = 36}, + [4308] = {.lex_state = 65}, + [4309] = {.lex_state = 36}, + [4310] = {.lex_state = 22}, + [4311] = {.lex_state = 22}, + [4312] = {.lex_state = 25}, + [4313] = {.lex_state = 36}, + [4314] = {.lex_state = 22}, + [4315] = {.lex_state = 25}, + [4316] = {.lex_state = 65}, + [4317] = {.lex_state = 25}, + [4318] = {.lex_state = 22}, + [4319] = {.lex_state = 36}, + [4320] = {.lex_state = 22}, + [4321] = {.lex_state = 22}, + [4322] = {.lex_state = 65}, + [4323] = {.lex_state = 22}, + [4324] = {.lex_state = 65}, + [4325] = {.lex_state = 22}, + [4326] = {.lex_state = 65}, + [4327] = {.lex_state = 22}, + [4328] = {.lex_state = 22}, + [4329] = {.lex_state = 22}, + [4330] = {.lex_state = 22}, + [4331] = {.lex_state = 22}, + [4332] = {.lex_state = 22}, + [4333] = {.lex_state = 22}, + [4334] = {.lex_state = 65}, + [4335] = {.lex_state = 22}, + [4336] = {.lex_state = 36}, + [4337] = {.lex_state = 36}, + [4338] = {.lex_state = 65}, + [4339] = {.lex_state = 65}, + [4340] = {.lex_state = 36}, + [4341] = {.lex_state = 22}, + [4342] = {.lex_state = 65}, + [4343] = {.lex_state = 36}, + [4344] = {.lex_state = 25}, + [4345] = {.lex_state = 3}, + [4346] = {.lex_state = 25}, + [4347] = {.lex_state = 3}, + [4348] = {.lex_state = 36}, + [4349] = {.lex_state = 36}, + [4350] = {.lex_state = 36}, + [4351] = {.lex_state = 36}, + [4352] = {.lex_state = 65}, + [4353] = {.lex_state = 36}, + [4354] = {.lex_state = 36}, + [4355] = {.lex_state = 36}, + [4356] = {.lex_state = 36}, + [4357] = {.lex_state = 36}, + [4358] = {.lex_state = 36}, + [4359] = {.lex_state = 36}, + [4360] = {.lex_state = 3}, + [4361] = {.lex_state = 3}, + [4362] = {.lex_state = 36}, + [4363] = {.lex_state = 65}, + [4364] = {.lex_state = 65}, + [4365] = {.lex_state = 36}, + [4366] = {.lex_state = 65}, + [4367] = {.lex_state = 65}, + [4368] = {.lex_state = 65}, + [4369] = {.lex_state = 65}, + [4370] = {.lex_state = 65}, + [4371] = {.lex_state = 65}, + [4372] = {.lex_state = 65}, + [4373] = {.lex_state = 36}, + [4374] = {.lex_state = 25}, + [4375] = {.lex_state = 65}, + [4376] = {.lex_state = 65}, + [4377] = {.lex_state = 36}, + [4378] = {.lex_state = 65}, + [4379] = {.lex_state = 65}, + [4380] = {.lex_state = 65}, + [4381] = {.lex_state = 65}, + [4382] = {.lex_state = 25}, + [4383] = {.lex_state = 36}, + [4384] = {.lex_state = 65}, + [4385] = {.lex_state = 65}, + [4386] = {.lex_state = 65}, + [4387] = {.lex_state = 65}, + [4388] = {.lex_state = 65}, + [4389] = {.lex_state = 65}, + [4390] = {.lex_state = 65}, + [4391] = {.lex_state = 65}, + [4392] = {.lex_state = 65}, + [4393] = {.lex_state = 65}, + [4394] = {.lex_state = 65}, + [4395] = {.lex_state = 25}, + [4396] = {.lex_state = 65}, + [4397] = {.lex_state = 65}, + [4398] = {.lex_state = 65}, + [4399] = {.lex_state = 65}, + [4400] = {.lex_state = 65}, + [4401] = {.lex_state = 36}, + [4402] = {.lex_state = 36}, + [4403] = {.lex_state = 36}, + [4404] = {.lex_state = 36}, + [4405] = {.lex_state = 65}, + [4406] = {.lex_state = 65}, + [4407] = {.lex_state = 65}, + [4408] = {.lex_state = 65}, + [4409] = {.lex_state = 65}, + [4410] = {.lex_state = 65}, + [4411] = {.lex_state = 65}, + [4412] = {.lex_state = 36}, + [4413] = {.lex_state = 36}, + [4414] = {.lex_state = 65}, + [4415] = {.lex_state = 65}, + [4416] = {.lex_state = 65}, + [4417] = {.lex_state = 65}, + [4418] = {.lex_state = 36}, + [4419] = {.lex_state = 65}, + [4420] = {.lex_state = 65}, + [4421] = {.lex_state = 65}, + [4422] = {.lex_state = 65}, + [4423] = {.lex_state = 65}, + [4424] = {.lex_state = 65}, + [4425] = {.lex_state = 65}, + [4426] = {.lex_state = 65}, + [4427] = {.lex_state = 65}, + [4428] = {.lex_state = 65}, + [4429] = {.lex_state = 65}, + [4430] = {.lex_state = 65}, + [4431] = {.lex_state = 65}, + [4432] = {.lex_state = 65}, + [4433] = {.lex_state = 65}, + [4434] = {.lex_state = 65}, + [4435] = {.lex_state = 65}, + [4436] = {.lex_state = 65}, + [4437] = {.lex_state = 65}, + [4438] = {.lex_state = 65}, + [4439] = {.lex_state = 65}, + [4440] = {.lex_state = 65}, + [4441] = {.lex_state = 65}, + [4442] = {.lex_state = 65}, + [4443] = {.lex_state = 65}, + [4444] = {.lex_state = 65}, + [4445] = {.lex_state = 65}, + [4446] = {.lex_state = 65}, + [4447] = {.lex_state = 65}, + [4448] = {.lex_state = 65}, + [4449] = {.lex_state = 65}, + [4450] = {.lex_state = 65}, + [4451] = {.lex_state = 65}, + [4452] = {.lex_state = 65}, + [4453] = {.lex_state = 65}, + [4454] = {.lex_state = 65}, + [4455] = {.lex_state = 65}, + [4456] = {.lex_state = 65}, + [4457] = {.lex_state = 65}, + [4458] = {.lex_state = 65}, + [4459] = {.lex_state = 65}, + [4460] = {.lex_state = 65}, + [4461] = {.lex_state = 65}, + [4462] = {.lex_state = 36}, + [4463] = {.lex_state = 65}, + [4464] = {.lex_state = 65}, + [4465] = {.lex_state = 65}, + [4466] = {.lex_state = 65}, + [4467] = {.lex_state = 36}, + [4468] = {.lex_state = 36}, + [4469] = {.lex_state = 36}, + [4470] = {.lex_state = 65}, + [4471] = {.lex_state = 65}, + [4472] = {.lex_state = 65}, + [4473] = {.lex_state = 65}, + [4474] = {.lex_state = 65}, + [4475] = {.lex_state = 65}, + [4476] = {.lex_state = 65}, + [4477] = {.lex_state = 65}, + [4478] = {.lex_state = 65}, + [4479] = {.lex_state = 65}, + [4480] = {.lex_state = 65}, + [4481] = {.lex_state = 65}, + [4482] = {.lex_state = 65}, + [4483] = {.lex_state = 25}, + [4484] = {.lex_state = 65}, + [4485] = {.lex_state = 65}, + [4486] = {.lex_state = 65}, + [4487] = {.lex_state = 65}, + [4488] = {.lex_state = 65}, + [4489] = {.lex_state = 65}, + [4490] = {.lex_state = 65}, + [4491] = {.lex_state = 65}, + [4492] = {.lex_state = 65}, + [4493] = {.lex_state = 65}, + [4494] = {.lex_state = 65}, + [4495] = {.lex_state = 65}, + [4496] = {.lex_state = 65}, + [4497] = {.lex_state = 65}, + [4498] = {.lex_state = 65}, + [4499] = {.lex_state = 65}, + [4500] = {.lex_state = 36}, + [4501] = {.lex_state = 25}, + [4502] = {.lex_state = 25}, + [4503] = {.lex_state = 65}, + [4504] = {.lex_state = 65}, + [4505] = {.lex_state = 36}, + [4506] = {.lex_state = 36}, + [4507] = {.lex_state = 36}, + [4508] = {.lex_state = 36}, + [4509] = {.lex_state = 36}, + [4510] = {.lex_state = 36}, + [4511] = {.lex_state = 3}, + [4512] = {.lex_state = 36}, + [4513] = {.lex_state = 36}, + [4514] = {.lex_state = 36}, + [4515] = {.lex_state = 36}, + [4516] = {.lex_state = 36}, + [4517] = {.lex_state = 65}, + [4518] = {.lex_state = 36}, + [4519] = {.lex_state = 36}, + [4520] = {.lex_state = 65}, + [4521] = {.lex_state = 65}, + [4522] = {.lex_state = 36}, + [4523] = {.lex_state = 36}, + [4524] = {.lex_state = 36}, + [4525] = {.lex_state = 36}, + [4526] = {.lex_state = 65}, + [4527] = {.lex_state = 36}, + [4528] = {.lex_state = 65}, + [4529] = {.lex_state = 36}, + [4530] = {.lex_state = 65}, + [4531] = {.lex_state = 36}, + [4532] = {.lex_state = 36}, + [4533] = {.lex_state = 65}, + [4534] = {.lex_state = 36}, + [4535] = {.lex_state = 36}, + [4536] = {.lex_state = 36}, + [4537] = {.lex_state = 36}, + [4538] = {.lex_state = 36}, + [4539] = {.lex_state = 65}, + [4540] = {.lex_state = 36}, + [4541] = {.lex_state = 36}, + [4542] = {.lex_state = 36}, + [4543] = {.lex_state = 65}, + [4544] = {.lex_state = 36}, + [4545] = {.lex_state = 65}, + [4546] = {.lex_state = 65}, + [4547] = {.lex_state = 36}, + [4548] = {.lex_state = 16}, + [4549] = {.lex_state = 36}, + [4550] = {.lex_state = 16}, + [4551] = {.lex_state = 36}, + [4552] = {.lex_state = 65}, + [4553] = {.lex_state = 65}, + [4554] = {.lex_state = 36}, + [4555] = {.lex_state = 36}, + [4556] = {.lex_state = 36}, + [4557] = {.lex_state = 36}, + [4558] = {.lex_state = 36}, + [4559] = {.lex_state = 36}, + [4560] = {.lex_state = 36}, + [4561] = {.lex_state = 36}, + [4562] = {.lex_state = 65}, + [4563] = {.lex_state = 36}, + [4564] = {.lex_state = 65}, + [4565] = {.lex_state = 36}, + [4566] = {.lex_state = 36}, + [4567] = {.lex_state = 36}, + [4568] = {.lex_state = 65}, + [4569] = {.lex_state = 36}, + [4570] = {.lex_state = 36}, + [4571] = {.lex_state = 65}, + [4572] = {.lex_state = 36}, + [4573] = {.lex_state = 36}, + [4574] = {.lex_state = 36}, + [4575] = {.lex_state = 65}, + [4576] = {.lex_state = 65}, + [4577] = {.lex_state = 36}, + [4578] = {.lex_state = 36}, + [4579] = {.lex_state = 36}, + [4580] = {.lex_state = 36}, + [4581] = {.lex_state = 36}, + [4582] = {.lex_state = 36}, + [4583] = {.lex_state = 36}, + [4584] = {.lex_state = 36}, + [4585] = {.lex_state = 3}, + [4586] = {.lex_state = 36}, + [4587] = {.lex_state = 36}, + [4588] = {.lex_state = 36}, + [4589] = {.lex_state = 36}, + [4590] = {.lex_state = 36}, + [4591] = {.lex_state = 65}, + [4592] = {.lex_state = 36}, + [4593] = {.lex_state = 36}, + [4594] = {.lex_state = 3}, + [4595] = {.lex_state = 16}, + [4596] = {.lex_state = 36}, + [4597] = {.lex_state = 36}, + [4598] = {.lex_state = 36}, + [4599] = {.lex_state = 36}, + [4600] = {.lex_state = 36}, + [4601] = {.lex_state = 36}, + [4602] = {.lex_state = 65}, + [4603] = {.lex_state = 36}, + [4604] = {.lex_state = 65}, + [4605] = {.lex_state = 16}, + [4606] = {.lex_state = 65}, + [4607] = {.lex_state = 36}, + [4608] = {.lex_state = 65}, + [4609] = {.lex_state = 36}, + [4610] = {.lex_state = 36}, + [4611] = {.lex_state = 36}, + [4612] = {.lex_state = 36}, + [4613] = {.lex_state = 36}, + [4614] = {.lex_state = 36}, + [4615] = {.lex_state = 36}, + [4616] = {.lex_state = 36}, + [4617] = {.lex_state = 36}, + [4618] = {.lex_state = 16}, + [4619] = {.lex_state = 0}, + [4620] = {.lex_state = 2}, + [4621] = {.lex_state = 36}, + [4622] = {.lex_state = 0}, + [4623] = {.lex_state = 36}, + [4624] = {.lex_state = 36}, + [4625] = {.lex_state = 36}, + [4626] = {.lex_state = 36}, + [4627] = {.lex_state = 65}, + [4628] = {.lex_state = 16}, + [4629] = {.lex_state = 0}, + [4630] = {.lex_state = 36}, + [4631] = {.lex_state = 36}, + [4632] = {.lex_state = 36}, + [4633] = {.lex_state = 16}, + [4634] = {.lex_state = 16}, + [4635] = {.lex_state = 36}, + [4636] = {.lex_state = 0}, + [4637] = {.lex_state = 36}, + [4638] = {.lex_state = 0}, + [4639] = {.lex_state = 36}, + [4640] = {.lex_state = 65}, + [4641] = {.lex_state = 36}, + [4642] = {.lex_state = 65}, + [4643] = {.lex_state = 65}, + [4644] = {.lex_state = 65}, + [4645] = {.lex_state = 65}, + [4646] = {.lex_state = 36}, + [4647] = {.lex_state = 65}, + [4648] = {.lex_state = 36}, + [4649] = {.lex_state = 65}, + [4650] = {.lex_state = 65}, + [4651] = {.lex_state = 36}, + [4652] = {.lex_state = 65}, + [4653] = {.lex_state = 0}, + [4654] = {.lex_state = 36}, + [4655] = {.lex_state = 16}, + [4656] = {.lex_state = 16}, + [4657] = {.lex_state = 36}, + [4658] = {.lex_state = 16}, + [4659] = {.lex_state = 36}, + [4660] = {.lex_state = 16}, + [4661] = {.lex_state = 16}, + [4662] = {.lex_state = 65}, + [4663] = {.lex_state = 16}, + [4664] = {.lex_state = 65}, + [4665] = {.lex_state = 36}, + [4666] = {.lex_state = 65}, + [4667] = {.lex_state = 65}, + [4668] = {.lex_state = 36}, + [4669] = {.lex_state = 16}, + [4670] = {.lex_state = 36}, + [4671] = {.lex_state = 16}, + [4672] = {.lex_state = 16}, + [4673] = {.lex_state = 0}, + [4674] = {.lex_state = 36}, + [4675] = {.lex_state = 36}, + [4676] = {.lex_state = 0}, + [4677] = {.lex_state = 36}, + [4678] = {.lex_state = 0}, + [4679] = {.lex_state = 36}, + [4680] = {.lex_state = 65}, + [4681] = {.lex_state = 16}, + [4682] = {.lex_state = 65}, + [4683] = {.lex_state = 65}, + [4684] = {.lex_state = 36}, + [4685] = {.lex_state = 65}, + [4686] = {.lex_state = 65}, + [4687] = {.lex_state = 65}, + [4688] = {.lex_state = 65}, + [4689] = {.lex_state = 25}, + [4690] = {.lex_state = 65}, + [4691] = {.lex_state = 65}, + [4692] = {.lex_state = 65}, + [4693] = {.lex_state = 65}, + [4694] = {.lex_state = 65}, + [4695] = {.lex_state = 65}, + [4696] = {.lex_state = 65}, + [4697] = {.lex_state = 65}, + [4698] = {.lex_state = 22}, + [4699] = {.lex_state = 65}, + [4700] = {.lex_state = 65}, + [4701] = {.lex_state = 65}, + [4702] = {.lex_state = 65}, + [4703] = {.lex_state = 65}, + [4704] = {.lex_state = 65}, + [4705] = {.lex_state = 65}, + [4706] = {.lex_state = 65}, + [4707] = {.lex_state = 65}, + [4708] = {.lex_state = 65}, + [4709] = {.lex_state = 65}, + [4710] = {.lex_state = 65}, + [4711] = {.lex_state = 65}, + [4712] = {.lex_state = 65}, + [4713] = {.lex_state = 65}, + [4714] = {.lex_state = 65}, + [4715] = {.lex_state = 65}, + [4716] = {.lex_state = 65}, + [4717] = {.lex_state = 65}, + [4718] = {.lex_state = 25}, + [4719] = {.lex_state = 65}, + [4720] = {.lex_state = 36}, + [4721] = {.lex_state = 65}, + [4722] = {.lex_state = 65}, + [4723] = {.lex_state = 25}, + [4724] = {.lex_state = 65}, + [4725] = {.lex_state = 65}, + [4726] = {.lex_state = 65}, + [4727] = {.lex_state = 16}, + [4728] = {.lex_state = 65}, + [4729] = {.lex_state = 25}, + [4730] = {.lex_state = 65}, + [4731] = {.lex_state = 65}, + [4732] = {.lex_state = 65}, + [4733] = {.lex_state = 65}, + [4734] = {.lex_state = 65}, + [4735] = {.lex_state = 65}, + [4736] = {.lex_state = 65}, + [4737] = {.lex_state = 65}, + [4738] = {.lex_state = 65}, + [4739] = {.lex_state = 65}, + [4740] = {.lex_state = 65}, + [4741] = {.lex_state = 65}, + [4742] = {.lex_state = 65}, + [4743] = {.lex_state = 65}, + [4744] = {.lex_state = 65}, + [4745] = {.lex_state = 65}, + [4746] = {.lex_state = 65}, + [4747] = {.lex_state = 22}, + [4748] = {.lex_state = 25}, + [4749] = {.lex_state = 65}, + [4750] = {.lex_state = 22}, + [4751] = {.lex_state = 65}, + [4752] = {.lex_state = 65}, + [4753] = {.lex_state = 36}, + [4754] = {.lex_state = 65}, + [4755] = {.lex_state = 65}, + [4756] = {.lex_state = 65}, + [4757] = {.lex_state = 65}, + [4758] = {.lex_state = 65}, + [4759] = {.lex_state = 65}, + [4760] = {.lex_state = 65}, + [4761] = {.lex_state = 65}, + [4762] = {.lex_state = 65}, + [4763] = {.lex_state = 65}, + [4764] = {.lex_state = 65}, + [4765] = {.lex_state = 65}, + [4766] = {.lex_state = 65}, + [4767] = {.lex_state = 65}, + [4768] = {.lex_state = 65}, + [4769] = {.lex_state = 65}, + [4770] = {.lex_state = 65}, + [4771] = {.lex_state = 65}, + [4772] = {.lex_state = 65}, + [4773] = {.lex_state = 65}, + [4774] = {.lex_state = 65}, + [4775] = {.lex_state = 65}, + [4776] = {.lex_state = 65}, + [4777] = {.lex_state = 65}, + [4778] = {.lex_state = 65}, + [4779] = {.lex_state = 65}, + [4780] = {.lex_state = 65}, + [4781] = {.lex_state = 65}, + [4782] = {.lex_state = 65}, + [4783] = {.lex_state = 65}, + [4784] = {.lex_state = 25}, + [4785] = {.lex_state = 65}, + [4786] = {.lex_state = 65}, + [4787] = {.lex_state = 65}, + [4788] = {.lex_state = 65}, + [4789] = {.lex_state = 65}, + [4790] = {.lex_state = 65}, + [4791] = {.lex_state = 65}, + [4792] = {.lex_state = 65}, + [4793] = {.lex_state = 65}, + [4794] = {.lex_state = 65}, + [4795] = {.lex_state = 65}, + [4796] = {.lex_state = 65}, + [4797] = {.lex_state = 25}, + [4798] = {.lex_state = 65}, + [4799] = {.lex_state = 65}, + [4800] = {.lex_state = 65}, + [4801] = {.lex_state = 65}, + [4802] = {.lex_state = 65}, + [4803] = {.lex_state = 65}, + [4804] = {.lex_state = 65}, + [4805] = {.lex_state = 65}, + [4806] = {.lex_state = 65}, + [4807] = {.lex_state = 65}, + [4808] = {.lex_state = 25}, + [4809] = {.lex_state = 65}, + [4810] = {.lex_state = 65}, + [4811] = {.lex_state = 25}, + [4812] = {.lex_state = 65}, + [4813] = {.lex_state = 65}, + [4814] = {.lex_state = 65}, + [4815] = {.lex_state = 65}, + [4816] = {.lex_state = 65}, + [4817] = {.lex_state = 65}, + [4818] = {.lex_state = 36}, + [4819] = {.lex_state = 65}, + [4820] = {.lex_state = 65}, + [4821] = {.lex_state = 0}, + [4822] = {.lex_state = 25}, + [4823] = {.lex_state = 65}, + [4824] = {.lex_state = 65}, + [4825] = {.lex_state = 65}, + [4826] = {.lex_state = 25}, + [4827] = {.lex_state = 65}, + [4828] = {.lex_state = 65}, + [4829] = {.lex_state = 65}, + [4830] = {.lex_state = 65}, + [4831] = {.lex_state = 65}, + [4832] = {.lex_state = 65}, + [4833] = {.lex_state = 65}, + [4834] = {.lex_state = 65}, + [4835] = {.lex_state = 65}, + [4836] = {.lex_state = 36}, + [4837] = {.lex_state = 65}, + [4838] = {.lex_state = 65}, + [4839] = {.lex_state = 65}, + [4840] = {.lex_state = 65}, + [4841] = {.lex_state = 65}, + [4842] = {.lex_state = 22}, + [4843] = {.lex_state = 25}, + [4844] = {.lex_state = 65}, + [4845] = {.lex_state = 65}, + [4846] = {.lex_state = 65}, + [4847] = {.lex_state = 65}, + [4848] = {.lex_state = 36}, + [4849] = {.lex_state = 65}, + [4850] = {.lex_state = 2}, + [4851] = {.lex_state = 2}, + [4852] = {.lex_state = 65}, + [4853] = {.lex_state = 65}, + [4854] = {.lex_state = 65}, + [4855] = {.lex_state = 65}, + [4856] = {.lex_state = 65}, + [4857] = {.lex_state = 65}, + [4858] = {.lex_state = 65}, + [4859] = {.lex_state = 65}, + [4860] = {.lex_state = 65}, + [4861] = {.lex_state = 65}, + [4862] = {.lex_state = 65}, + [4863] = {.lex_state = 65}, + [4864] = {.lex_state = 65}, + [4865] = {.lex_state = 65}, + [4866] = {.lex_state = 65}, + [4867] = {.lex_state = 65}, + [4868] = {.lex_state = 65}, + [4869] = {.lex_state = 65}, + [4870] = {.lex_state = 65}, + [4871] = {.lex_state = 65}, + [4872] = {.lex_state = 65}, + [4873] = {.lex_state = 65}, + [4874] = {.lex_state = 65}, + [4875] = {.lex_state = 65}, + [4876] = {.lex_state = 1}, + [4877] = {.lex_state = 65}, + [4878] = {.lex_state = 65}, + [4879] = {.lex_state = 65}, + [4880] = {.lex_state = 65}, + [4881] = {.lex_state = 65}, + [4882] = {.lex_state = 65}, + [4883] = {.lex_state = 65}, + [4884] = {.lex_state = 65}, + [4885] = {.lex_state = 65}, + [4886] = {.lex_state = 65}, + [4887] = {.lex_state = 65}, + [4888] = {.lex_state = 65}, + [4889] = {.lex_state = 65}, + [4890] = {.lex_state = 65}, + [4891] = {.lex_state = 65}, + [4892] = {.lex_state = 65}, + [4893] = {.lex_state = 65}, + [4894] = {.lex_state = 65}, + [4895] = {.lex_state = 65}, + [4896] = {.lex_state = 65}, + [4897] = {.lex_state = 65}, + [4898] = {.lex_state = 65}, + [4899] = {.lex_state = 65}, + [4900] = {.lex_state = 65}, + [4901] = {.lex_state = 65}, + [4902] = {.lex_state = 65}, + [4903] = {.lex_state = 65}, + [4904] = {.lex_state = 65}, + [4905] = {.lex_state = 65}, + [4906] = {.lex_state = 65}, + [4907] = {.lex_state = 65}, + [4908] = {.lex_state = 65}, + [4909] = {.lex_state = 65}, + [4910] = {.lex_state = 65}, + [4911] = {.lex_state = 65}, + [4912] = {.lex_state = 65}, + [4913] = {.lex_state = 65}, + [4914] = {.lex_state = 65}, + [4915] = {.lex_state = 65}, + [4916] = {.lex_state = 36}, + [4917] = {.lex_state = 36}, + [4918] = {.lex_state = 65}, + [4919] = {.lex_state = 36}, + [4920] = {.lex_state = 65}, + [4921] = {.lex_state = 36}, + [4922] = {.lex_state = 65}, + [4923] = {.lex_state = 65}, + [4924] = {.lex_state = 36}, + [4925] = {.lex_state = 65}, + [4926] = {.lex_state = 65}, + [4927] = {.lex_state = 36}, + [4928] = {.lex_state = 65}, + [4929] = {.lex_state = 65}, + [4930] = {.lex_state = 65}, + [4931] = {.lex_state = 65}, + [4932] = {.lex_state = 36}, + [4933] = {.lex_state = 65}, + [4934] = {.lex_state = 65}, + [4935] = {.lex_state = 65}, + [4936] = {.lex_state = 65}, + [4937] = {.lex_state = 65}, + [4938] = {.lex_state = 65}, + [4939] = {.lex_state = 65}, + [4940] = {.lex_state = 65}, + [4941] = {.lex_state = 1}, + [4942] = {.lex_state = 65}, + [4943] = {.lex_state = 65}, + [4944] = {.lex_state = 65}, + [4945] = {.lex_state = 65}, + [4946] = {.lex_state = 65}, + [4947] = {.lex_state = 65}, + [4948] = {.lex_state = 65}, + [4949] = {.lex_state = 65}, + [4950] = {.lex_state = 65}, + [4951] = {.lex_state = 65}, + [4952] = {.lex_state = 36}, + [4953] = {.lex_state = 65}, + [4954] = {.lex_state = 36}, + [4955] = {.lex_state = 65}, + [4956] = {.lex_state = 36}, + [4957] = {.lex_state = 65}, + [4958] = {.lex_state = 65}, + [4959] = {.lex_state = 65}, + [4960] = {.lex_state = 65}, + [4961] = {.lex_state = 65}, + [4962] = {.lex_state = 65}, + [4963] = {.lex_state = 65}, + [4964] = {.lex_state = 65}, + [4965] = {.lex_state = 65}, + [4966] = {.lex_state = 36}, + [4967] = {.lex_state = 65}, + [4968] = {.lex_state = 65}, + [4969] = {.lex_state = 65}, + [4970] = {.lex_state = 65}, + [4971] = {.lex_state = 65}, + [4972] = {.lex_state = 65}, + [4973] = {.lex_state = 65}, + [4974] = {.lex_state = 65}, + [4975] = {.lex_state = 65}, + [4976] = {.lex_state = 65}, + [4977] = {.lex_state = 65}, + [4978] = {.lex_state = 65}, + [4979] = {.lex_state = 65}, + [4980] = {.lex_state = 65}, + [4981] = {.lex_state = 65}, + [4982] = {.lex_state = 2}, + [4983] = {.lex_state = 65}, + [4984] = {.lex_state = 65}, + [4985] = {.lex_state = 65}, + [4986] = {.lex_state = 65}, + [4987] = {.lex_state = 65}, + [4988] = {.lex_state = 65}, + [4989] = {.lex_state = 65}, + [4990] = {.lex_state = 36}, + [4991] = {.lex_state = 65}, + [4992] = {.lex_state = 65}, + [4993] = {.lex_state = 36}, + [4994] = {.lex_state = 65}, + [4995] = {.lex_state = 65}, + [4996] = {.lex_state = 65}, + [4997] = {.lex_state = 65}, + [4998] = {.lex_state = 65}, + [4999] = {.lex_state = 65}, + [5000] = {.lex_state = 65}, + [5001] = {.lex_state = 36}, + [5002] = {.lex_state = 65}, + [5003] = {.lex_state = 65}, + [5004] = {.lex_state = 65}, + [5005] = {.lex_state = 65}, + [5006] = {.lex_state = 65}, + [5007] = {.lex_state = 65}, + [5008] = {.lex_state = 65}, + [5009] = {.lex_state = 65}, + [5010] = {.lex_state = 65}, + [5011] = {.lex_state = 65}, + [5012] = {.lex_state = 65}, + [5013] = {.lex_state = 65}, + [5014] = {.lex_state = 65}, + [5015] = {.lex_state = 65}, + [5016] = {.lex_state = 65}, + [5017] = {.lex_state = 65}, + [5018] = {.lex_state = 65}, + [5019] = {.lex_state = 65}, + [5020] = {.lex_state = 1}, + [5021] = {.lex_state = 65}, + [5022] = {.lex_state = 65}, + [5023] = {.lex_state = 2}, + [5024] = {.lex_state = 65}, + [5025] = {.lex_state = 65}, + [5026] = {.lex_state = 65}, + [5027] = {.lex_state = 1}, + [5028] = {.lex_state = 65}, + [5029] = {.lex_state = 65}, + [5030] = {.lex_state = 65}, + [5031] = {.lex_state = 65}, + [5032] = {.lex_state = 65}, + [5033] = {.lex_state = 65}, + [5034] = {.lex_state = 65}, + [5035] = {.lex_state = 65}, + [5036] = {.lex_state = 65}, + [5037] = {.lex_state = 65}, + [5038] = {.lex_state = 65}, + [5039] = {.lex_state = 65}, + [5040] = {.lex_state = 65}, + [5041] = {.lex_state = 65}, + [5042] = {.lex_state = 65}, + [5043] = {.lex_state = 36}, + [5044] = {.lex_state = 36}, + [5045] = {.lex_state = 36}, + [5046] = {.lex_state = 65}, + [5047] = {.lex_state = 65}, + [5048] = {.lex_state = 65}, + [5049] = {.lex_state = 2}, + [5050] = {.lex_state = 65}, + [5051] = {.lex_state = 65}, + [5052] = {.lex_state = 65}, + [5053] = {.lex_state = 65}, + [5054] = {.lex_state = 65}, + [5055] = {.lex_state = 65}, + [5056] = {.lex_state = 65}, + [5057] = {.lex_state = 65}, + [5058] = {.lex_state = 65}, + [5059] = {.lex_state = 36}, + [5060] = {.lex_state = 36}, + [5061] = {.lex_state = 36}, + [5062] = {.lex_state = 36}, + [5063] = {.lex_state = 65}, + [5064] = {.lex_state = 65}, + [5065] = {.lex_state = 65}, + [5066] = {.lex_state = 65}, + [5067] = {.lex_state = 65}, + [5068] = {.lex_state = 65}, + [5069] = {.lex_state = 65}, + [5070] = {.lex_state = 36}, + [5071] = {.lex_state = 65}, + [5072] = {.lex_state = 65}, + [5073] = {.lex_state = 65}, + [5074] = {.lex_state = 65}, + [5075] = {.lex_state = 65}, + [5076] = {.lex_state = 2}, + [5077] = {.lex_state = 65}, + [5078] = {.lex_state = 65}, + [5079] = {.lex_state = 65}, + [5080] = {.lex_state = 65}, + [5081] = {.lex_state = 65}, + [5082] = {.lex_state = 22}, + [5083] = {.lex_state = 65}, + [5084] = {.lex_state = 65}, + [5085] = {.lex_state = 65}, + [5086] = {.lex_state = 65}, + [5087] = {.lex_state = 65}, + [5088] = {.lex_state = 65}, + [5089] = {.lex_state = 65}, + [5090] = {.lex_state = 65}, + [5091] = {.lex_state = 65}, + [5092] = {.lex_state = 65}, + [5093] = {.lex_state = 65}, + [5094] = {.lex_state = 65}, + [5095] = {.lex_state = 65}, + [5096] = {.lex_state = 65}, + [5097] = {.lex_state = 1}, + [5098] = {.lex_state = 1}, + [5099] = {.lex_state = 65}, + [5100] = {.lex_state = 65}, + [5101] = {.lex_state = 65}, + [5102] = {.lex_state = 65}, + [5103] = {.lex_state = 65}, + [5104] = {.lex_state = 65}, + [5105] = {.lex_state = 22}, + [5106] = {.lex_state = 65}, + [5107] = {.lex_state = 65}, + [5108] = {.lex_state = 65}, + [5109] = {.lex_state = 65}, + [5110] = {.lex_state = 65}, + [5111] = {.lex_state = 65}, + [5112] = {.lex_state = 65}, + [5113] = {.lex_state = 65}, + [5114] = {.lex_state = 2}, + [5115] = {.lex_state = 65}, + [5116] = {.lex_state = 2}, + [5117] = {.lex_state = 2}, + [5118] = {.lex_state = 65}, + [5119] = {.lex_state = 65}, + [5120] = {.lex_state = 65}, + [5121] = {.lex_state = 65}, + [5122] = {.lex_state = 1}, + [5123] = {.lex_state = 65}, + [5124] = {.lex_state = 65}, + [5125] = {.lex_state = 65}, + [5126] = {.lex_state = 65}, + [5127] = {.lex_state = 65}, + [5128] = {.lex_state = 65}, + [5129] = {.lex_state = 65}, + [5130] = {.lex_state = 65}, + [5131] = {.lex_state = 65}, + [5132] = {.lex_state = 65}, + [5133] = {.lex_state = 65}, + [5134] = {.lex_state = 65}, + [5135] = {.lex_state = 65}, + [5136] = {.lex_state = 65}, + [5137] = {.lex_state = 65}, + [5138] = {.lex_state = 65}, + [5139] = {.lex_state = 65}, + [5140] = {.lex_state = 65}, + [5141] = {.lex_state = 65}, + [5142] = {.lex_state = 65}, + [5143] = {.lex_state = 65}, + [5144] = {.lex_state = 65}, + [5145] = {.lex_state = 65}, + [5146] = {.lex_state = 65}, + [5147] = {.lex_state = 65}, + [5148] = {.lex_state = 65}, + [5149] = {.lex_state = 65}, + [5150] = {.lex_state = 65}, + [5151] = {.lex_state = 65}, + [5152] = {.lex_state = 36}, + [5153] = {.lex_state = 36}, + [5154] = {.lex_state = 36}, + [5155] = {.lex_state = 36}, + [5156] = {.lex_state = 36}, + [5157] = {.lex_state = 65}, + [5158] = {.lex_state = 65}, + [5159] = {.lex_state = 65}, + [5160] = {.lex_state = 36}, + [5161] = {.lex_state = 65}, + [5162] = {.lex_state = 65}, + [5163] = {.lex_state = 65}, + [5164] = {.lex_state = 36}, + [5165] = {.lex_state = 65}, + [5166] = {.lex_state = 65}, + [5167] = {.lex_state = 65}, + [5168] = {.lex_state = 65}, + [5169] = {.lex_state = 65}, + [5170] = {.lex_state = 65}, + [5171] = {.lex_state = 65}, + [5172] = {.lex_state = 65}, + [5173] = {.lex_state = 65}, + [5174] = {.lex_state = 65}, + [5175] = {.lex_state = 65}, + [5176] = {.lex_state = 65}, + [5177] = {.lex_state = 65}, + [5178] = {.lex_state = 65}, + [5179] = {.lex_state = 65}, + [5180] = {.lex_state = 65}, + [5181] = {.lex_state = 65}, + [5182] = {.lex_state = 65}, + [5183] = {.lex_state = 65}, + [5184] = {.lex_state = 65}, + [5185] = {.lex_state = 36}, + [5186] = {.lex_state = 36}, + [5187] = {.lex_state = 36}, + [5188] = {.lex_state = 36}, + [5189] = {.lex_state = 36}, + [5190] = {.lex_state = 36}, + [5191] = {.lex_state = 1}, + [5192] = {.lex_state = 36}, + [5193] = {.lex_state = 36}, + [5194] = {.lex_state = 0}, + [5195] = {.lex_state = 65}, + [5196] = {.lex_state = 36}, + [5197] = {.lex_state = 65}, + [5198] = {.lex_state = 2}, + [5199] = {.lex_state = 65}, + [5200] = {.lex_state = 65}, + [5201] = {.lex_state = 36}, + [5202] = {.lex_state = 36}, + [5203] = {.lex_state = 36}, + [5204] = {.lex_state = 36}, + [5205] = {.lex_state = 65}, + [5206] = {.lex_state = 65}, + [5207] = {.lex_state = 65}, + [5208] = {.lex_state = 65}, + [5209] = {.lex_state = 36}, + [5210] = {.lex_state = 36}, + [5211] = {.lex_state = 36}, + [5212] = {.lex_state = 65}, + [5213] = {.lex_state = 65}, + [5214] = {.lex_state = 65}, + [5215] = {.lex_state = 36}, + [5216] = {.lex_state = 36}, + [5217] = {.lex_state = 36}, + [5218] = {.lex_state = 2}, + [5219] = {.lex_state = 2}, + [5220] = {.lex_state = 36}, + [5221] = {.lex_state = 65}, + [5222] = {.lex_state = 65}, + [5223] = {.lex_state = 65}, + [5224] = {.lex_state = 65}, + [5225] = {.lex_state = 36}, + [5226] = {.lex_state = 1}, + [5227] = {.lex_state = 1}, + [5228] = {.lex_state = 65}, + [5229] = {.lex_state = 65}, + [5230] = {.lex_state = 65}, + [5231] = {.lex_state = 1}, + [5232] = {.lex_state = 25}, + [5233] = {.lex_state = 65}, + [5234] = {.lex_state = 36}, + [5235] = {.lex_state = 65}, + [5236] = {.lex_state = 65}, + [5237] = {.lex_state = 36}, + [5238] = {.lex_state = 65}, + [5239] = {.lex_state = 65}, + [5240] = {.lex_state = 65}, + [5241] = {.lex_state = 1}, + [5242] = {.lex_state = 36}, + [5243] = {.lex_state = 65}, + [5244] = {.lex_state = 36}, + [5245] = {.lex_state = 36}, + [5246] = {.lex_state = 36}, + [5247] = {.lex_state = 1}, + [5248] = {.lex_state = 65}, + [5249] = {.lex_state = 65}, + [5250] = {.lex_state = 65}, + [5251] = {.lex_state = 65}, + [5252] = {.lex_state = 0}, + [5253] = {.lex_state = 65}, + [5254] = {.lex_state = 65}, + [5255] = {.lex_state = 65}, + [5256] = {.lex_state = 65}, + [5257] = {.lex_state = 65}, + [5258] = {.lex_state = 65}, + [5259] = {.lex_state = 65}, + [5260] = {.lex_state = 65}, + [5261] = {.lex_state = 65}, + [5262] = {.lex_state = 36}, + [5263] = {.lex_state = 65}, + [5264] = {.lex_state = 65}, + [5265] = {.lex_state = 65}, + [5266] = {.lex_state = 65}, + [5267] = {.lex_state = 1}, + [5268] = {.lex_state = 65}, + [5269] = {.lex_state = 65}, + [5270] = {.lex_state = 25}, + [5271] = {.lex_state = 65}, + [5272] = {.lex_state = 65}, + [5273] = {.lex_state = 65}, + [5274] = {.lex_state = 36}, + [5275] = {.lex_state = 0}, + [5276] = {.lex_state = 65}, + [5277] = {.lex_state = 0}, + [5278] = {.lex_state = 65}, + [5279] = {.lex_state = 0}, + [5280] = {.lex_state = 65}, + [5281] = {.lex_state = 65}, + [5282] = {.lex_state = 36}, + [5283] = {.lex_state = 65}, + [5284] = {.lex_state = 65}, + [5285] = {.lex_state = 0}, + [5286] = {.lex_state = 2}, + [5287] = {.lex_state = 2}, + [5288] = {.lex_state = 65}, + [5289] = {.lex_state = 2}, + [5290] = {.lex_state = 65}, + [5291] = {.lex_state = 65}, + [5292] = {.lex_state = 65}, + [5293] = {.lex_state = 65}, + [5294] = {.lex_state = 65}, + [5295] = {.lex_state = 65}, + [5296] = {.lex_state = 65}, + [5297] = {.lex_state = 65}, + [5298] = {.lex_state = 36}, + [5299] = {.lex_state = 65}, + [5300] = {.lex_state = 65}, + [5301] = {.lex_state = 65}, + [5302] = {.lex_state = 1}, + [5303] = {.lex_state = 1}, + [5304] = {.lex_state = 0}, + [5305] = {.lex_state = 65}, + [5306] = {.lex_state = 0}, + [5307] = {.lex_state = 65}, + [5308] = {.lex_state = 65}, + [5309] = {.lex_state = 65}, + [5310] = {.lex_state = 65}, + [5311] = {.lex_state = 65}, + [5312] = {.lex_state = 65}, + [5313] = {.lex_state = 65}, + [5314] = {.lex_state = 65}, + [5315] = {.lex_state = 65}, + [5316] = {.lex_state = 65}, + [5317] = {.lex_state = 65}, + [5318] = {.lex_state = 65}, + [5319] = {.lex_state = 65}, + [5320] = {.lex_state = 65}, + [5321] = {.lex_state = 65}, + [5322] = {.lex_state = 65}, + [5323] = {.lex_state = 65}, + [5324] = {.lex_state = 65}, + [5325] = {.lex_state = 65}, + [5326] = {.lex_state = 65}, + [5327] = {.lex_state = 65}, + [5328] = {.lex_state = 65}, + [5329] = {.lex_state = 65}, + [5330] = {.lex_state = 65}, + [5331] = {.lex_state = 65}, + [5332] = {.lex_state = 0}, + [5333] = {.lex_state = 65}, + [5334] = {.lex_state = 65}, + [5335] = {.lex_state = 65}, + [5336] = {.lex_state = 65}, + [5337] = {.lex_state = 65}, + [5338] = {.lex_state = 65}, + [5339] = {.lex_state = 65}, + [5340] = {.lex_state = 65}, + [5341] = {.lex_state = 0}, + [5342] = {.lex_state = 65}, + [5343] = {.lex_state = 65}, + [5344] = {.lex_state = 65}, + [5345] = {.lex_state = 65}, + [5346] = {.lex_state = 65}, + [5347] = {.lex_state = 65}, + [5348] = {.lex_state = 65}, + [5349] = {.lex_state = 0}, + [5350] = {.lex_state = 65}, + [5351] = {.lex_state = 0}, + [5352] = {.lex_state = 0}, + [5353] = {.lex_state = 65}, + [5354] = {.lex_state = 65}, + [5355] = {.lex_state = 65}, + [5356] = {.lex_state = 65}, + [5357] = {.lex_state = 65}, + [5358] = {.lex_state = 65}, + [5359] = {.lex_state = 65}, + [5360] = {.lex_state = 65}, + [5361] = {.lex_state = 65}, + [5362] = {.lex_state = 0}, + [5363] = {.lex_state = 65}, + [5364] = {.lex_state = 65}, + [5365] = {.lex_state = 1}, + [5366] = {.lex_state = 65}, + [5367] = {.lex_state = 65}, + [5368] = {.lex_state = 65}, + [5369] = {.lex_state = 65}, + [5370] = {.lex_state = 0}, + [5371] = {.lex_state = 65}, + [5372] = {.lex_state = 65}, + [5373] = {.lex_state = 65}, + [5374] = {.lex_state = 65}, + [5375] = {.lex_state = 65}, + [5376] = {.lex_state = 65}, + [5377] = {.lex_state = 65}, + [5378] = {.lex_state = 65}, + [5379] = {.lex_state = 65}, + [5380] = {.lex_state = 65}, + [5381] = {.lex_state = 0}, + [5382] = {.lex_state = 65}, + [5383] = {.lex_state = 65}, + [5384] = {.lex_state = 0}, + [5385] = {.lex_state = 65}, + [5386] = {.lex_state = 65}, + [5387] = {.lex_state = 65}, + [5388] = {.lex_state = 65}, + [5389] = {.lex_state = 65}, + [5390] = {.lex_state = 65}, + [5391] = {.lex_state = 0}, + [5392] = {.lex_state = 65}, + [5393] = {.lex_state = 65}, + [5394] = {.lex_state = 0}, + [5395] = {.lex_state = 0}, + [5396] = {.lex_state = 65}, + [5397] = {.lex_state = 0}, + [5398] = {.lex_state = 65}, + [5399] = {.lex_state = 65}, + [5400] = {.lex_state = 65}, + [5401] = {.lex_state = 65}, + [5402] = {.lex_state = 65}, + [5403] = {.lex_state = 0}, + [5404] = {.lex_state = 65}, + [5405] = {.lex_state = 0}, + [5406] = {.lex_state = 0}, + [5407] = {.lex_state = 0}, + [5408] = {.lex_state = 0}, + [5409] = {.lex_state = 0}, + [5410] = {.lex_state = 0}, + [5411] = {.lex_state = 65}, + [5412] = {.lex_state = 0}, + [5413] = {.lex_state = 65}, + [5414] = {.lex_state = 65}, + [5415] = {.lex_state = 65}, + [5416] = {.lex_state = 65}, + [5417] = {.lex_state = 0}, + [5418] = {.lex_state = 65}, + [5419] = {.lex_state = 1}, + [5420] = {.lex_state = 0}, + [5421] = {.lex_state = 0}, + [5422] = {.lex_state = 65}, + [5423] = {.lex_state = 65}, + [5424] = {.lex_state = 65}, + [5425] = {.lex_state = 65}, + [5426] = {.lex_state = 65}, + [5427] = {.lex_state = 1}, + [5428] = {.lex_state = 1}, + [5429] = {.lex_state = 65}, + [5430] = {.lex_state = 65}, + [5431] = {.lex_state = 65}, + [5432] = {.lex_state = 65}, + [5433] = {.lex_state = 65}, + [5434] = {.lex_state = 65}, + [5435] = {.lex_state = 65}, + [5436] = {.lex_state = 0}, + [5437] = {.lex_state = 0}, + [5438] = {.lex_state = 65}, + [5439] = {.lex_state = 65}, + [5440] = {.lex_state = 65}, + [5441] = {.lex_state = 65}, + [5442] = {.lex_state = 65}, + [5443] = {.lex_state = 65}, + [5444] = {.lex_state = 1}, + [5445] = {.lex_state = 0}, + [5446] = {.lex_state = 0}, + [5447] = {.lex_state = 0}, + [5448] = {.lex_state = 0}, + [5449] = {.lex_state = 65}, + [5450] = {.lex_state = 65}, + [5451] = {.lex_state = 65}, + [5452] = {.lex_state = 65}, + [5453] = {.lex_state = 0}, + [5454] = {.lex_state = 65}, + [5455] = {.lex_state = 0}, + [5456] = {.lex_state = 0}, + [5457] = {.lex_state = 0}, + [5458] = {.lex_state = 0}, + [5459] = {.lex_state = 0}, + [5460] = {.lex_state = 0}, + [5461] = {.lex_state = 65}, + [5462] = {.lex_state = 65}, + [5463] = {.lex_state = 65}, + [5464] = {.lex_state = 0}, + [5465] = {.lex_state = 0}, + [5466] = {.lex_state = 65}, + [5467] = {.lex_state = 65}, + [5468] = {.lex_state = 65}, + [5469] = {.lex_state = 65}, + [5470] = {.lex_state = 65}, + [5471] = {.lex_state = 65}, + [5472] = {.lex_state = 65}, + [5473] = {.lex_state = 65}, + [5474] = {.lex_state = 65}, + [5475] = {.lex_state = 1}, + [5476] = {.lex_state = 65}, + [5477] = {.lex_state = 1}, + [5478] = {.lex_state = 1}, + [5479] = {.lex_state = 0}, + [5480] = {.lex_state = 65}, + [5481] = {.lex_state = 65}, + [5482] = {.lex_state = 65}, + [5483] = {.lex_state = 65}, + [5484] = {.lex_state = 0}, + [5485] = {.lex_state = 65}, + [5486] = {.lex_state = 65}, + [5487] = {.lex_state = 65}, + [5488] = {.lex_state = 65}, + [5489] = {.lex_state = 65}, + [5490] = {.lex_state = 0}, + [5491] = {.lex_state = 65}, + [5492] = {.lex_state = 65}, + [5493] = {.lex_state = 65}, + [5494] = {.lex_state = 65}, + [5495] = {.lex_state = 65}, + [5496] = {.lex_state = 65}, + [5497] = {.lex_state = 65}, + [5498] = {.lex_state = 65}, + [5499] = {.lex_state = 65}, + [5500] = {.lex_state = 65}, + [5501] = {.lex_state = 65}, + [5502] = {.lex_state = 65}, + [5503] = {.lex_state = 65}, + [5504] = {.lex_state = 65}, + [5505] = {.lex_state = 65}, + [5506] = {.lex_state = 65}, + [5507] = {.lex_state = 0}, + [5508] = {.lex_state = 65}, + [5509] = {.lex_state = 2}, + [5510] = {.lex_state = 65}, + [5511] = {.lex_state = 2}, + [5512] = {.lex_state = 65}, + [5513] = {.lex_state = 0}, + [5514] = {.lex_state = 65}, + [5515] = {.lex_state = 0}, + [5516] = {.lex_state = 0}, + [5517] = {.lex_state = 65}, + [5518] = {.lex_state = 65}, + [5519] = {.lex_state = 65}, + [5520] = {.lex_state = 1}, + [5521] = {.lex_state = 65}, + [5522] = {.lex_state = 65}, + [5523] = {.lex_state = 65}, + [5524] = {.lex_state = 65}, + [5525] = {.lex_state = 65}, + [5526] = {.lex_state = 65}, + [5527] = {.lex_state = 65}, + [5528] = {.lex_state = 1}, + [5529] = {.lex_state = 65}, + [5530] = {.lex_state = 65}, + [5531] = {.lex_state = 0}, + [5532] = {.lex_state = 65}, + [5533] = {.lex_state = 1}, + [5534] = {.lex_state = 65}, + [5535] = {.lex_state = 65}, + [5536] = {.lex_state = 65}, + [5537] = {.lex_state = 65}, + [5538] = {.lex_state = 65}, + [5539] = {.lex_state = 65}, + [5540] = {.lex_state = 65}, + [5541] = {.lex_state = 65}, + [5542] = {.lex_state = 65}, + [5543] = {.lex_state = 65}, + [5544] = {.lex_state = 65}, + [5545] = {.lex_state = 0}, + [5546] = {.lex_state = 1}, + [5547] = {.lex_state = 65}, + [5548] = {.lex_state = 65}, + [5549] = {.lex_state = 0}, + [5550] = {.lex_state = 0}, + [5551] = {.lex_state = 0}, + [5552] = {.lex_state = 65}, + [5553] = {.lex_state = 0}, + [5554] = {.lex_state = 65}, + [5555] = {.lex_state = 65}, + [5556] = {.lex_state = 65}, + [5557] = {.lex_state = 65}, + [5558] = {.lex_state = 65}, + [5559] = {.lex_state = 65}, + [5560] = {.lex_state = 65}, + [5561] = {.lex_state = 0}, + [5562] = {.lex_state = 65}, + [5563] = {.lex_state = 0}, + [5564] = {.lex_state = 65}, + [5565] = {.lex_state = 65}, + [5566] = {.lex_state = 65}, + [5567] = {.lex_state = 65}, + [5568] = {.lex_state = 65}, + [5569] = {.lex_state = 0}, + [5570] = {.lex_state = 0}, + [5571] = {.lex_state = 65}, + [5572] = {.lex_state = 65}, + [5573] = {.lex_state = 65}, + [5574] = {.lex_state = 65}, + [5575] = {.lex_state = 65}, + [5576] = {.lex_state = 65}, + [5577] = {.lex_state = 65}, + [5578] = {.lex_state = 65}, + [5579] = {.lex_state = 65}, + [5580] = {.lex_state = 65}, + [5581] = {.lex_state = 65}, + [5582] = {.lex_state = 65}, + [5583] = {.lex_state = 65}, + [5584] = {.lex_state = 65}, + [5585] = {.lex_state = 65}, + [5586] = {.lex_state = 65}, + [5587] = {.lex_state = 65}, + [5588] = {.lex_state = 65}, + [5589] = {.lex_state = 1}, + [5590] = {.lex_state = 1}, + [5591] = {.lex_state = 65}, + [5592] = {.lex_state = 65}, + [5593] = {.lex_state = 65}, + [5594] = {.lex_state = 65}, + [5595] = {.lex_state = 65}, + [5596] = {.lex_state = 65}, + [5597] = {.lex_state = 65}, + [5598] = {.lex_state = 65}, + [5599] = {.lex_state = 65}, + [5600] = {.lex_state = 65}, + [5601] = {.lex_state = 65}, + [5602] = {.lex_state = 0}, + [5603] = {.lex_state = 65}, + [5604] = {.lex_state = 0}, + [5605] = {.lex_state = 65}, + [5606] = {.lex_state = 65}, + [5607] = {.lex_state = 65}, + [5608] = {.lex_state = 1}, + [5609] = {.lex_state = 0}, + [5610] = {.lex_state = 65}, + [5611] = {.lex_state = 65}, + [5612] = {.lex_state = 65}, + [5613] = {.lex_state = 0}, + [5614] = {.lex_state = 0}, + [5615] = {.lex_state = 65}, + [5616] = {.lex_state = 65}, + [5617] = {.lex_state = 0}, + [5618] = {.lex_state = 0}, + [5619] = {.lex_state = 1}, + [5620] = {.lex_state = 65}, + [5621] = {.lex_state = 1}, + [5622] = {.lex_state = 65}, + [5623] = {.lex_state = 65}, + [5624] = {.lex_state = 0}, + [5625] = {.lex_state = 65}, + [5626] = {.lex_state = 1}, + [5627] = {.lex_state = 65}, + [5628] = {.lex_state = 65}, + [5629] = {.lex_state = 65}, + [5630] = {.lex_state = 0}, + [5631] = {.lex_state = 65}, + [5632] = {.lex_state = 0}, + [5633] = {.lex_state = 65}, + [5634] = {.lex_state = 65}, + [5635] = {.lex_state = 65}, + [5636] = {.lex_state = 65}, + [5637] = {.lex_state = 65}, + [5638] = {.lex_state = 65}, + [5639] = {.lex_state = 65}, + [5640] = {.lex_state = 65}, + [5641] = {.lex_state = 65}, + [5642] = {.lex_state = 65}, + [5643] = {.lex_state = 1}, + [5644] = {.lex_state = 1}, + [5645] = {.lex_state = 0}, + [5646] = {.lex_state = 65}, + [5647] = {.lex_state = 65}, + [5648] = {.lex_state = 0}, + [5649] = {.lex_state = 65}, + [5650] = {.lex_state = 0}, + [5651] = {.lex_state = 65}, + [5652] = {.lex_state = 65}, + [5653] = {.lex_state = 65}, + [5654] = {.lex_state = 65}, + [5655] = {.lex_state = 65}, + [5656] = {.lex_state = 65}, + [5657] = {.lex_state = 0}, + [5658] = {.lex_state = 65}, + [5659] = {.lex_state = 65}, + [5660] = {.lex_state = 65}, + [5661] = {.lex_state = 65}, + [5662] = {.lex_state = 65}, + [5663] = {.lex_state = 65}, + [5664] = {.lex_state = 65}, + [5665] = {.lex_state = 65}, + [5666] = {.lex_state = 65}, + [5667] = {.lex_state = 1}, + [5668] = {.lex_state = 65}, + [5669] = {.lex_state = 65}, + [5670] = {.lex_state = 65}, + [5671] = {.lex_state = 65}, + [5672] = {.lex_state = 65}, + [5673] = {.lex_state = 65}, + [5674] = {.lex_state = 65}, + [5675] = {.lex_state = 0}, + [5676] = {.lex_state = 0}, + [5677] = {.lex_state = 0}, + [5678] = {.lex_state = 0}, + [5679] = {.lex_state = 65}, + [5680] = {.lex_state = 65}, + [5681] = {.lex_state = 65}, + [5682] = {.lex_state = 65}, + [5683] = {.lex_state = 65}, + [5684] = {.lex_state = 65}, + [5685] = {.lex_state = 65}, + [5686] = {.lex_state = 65}, + [5687] = {.lex_state = 65}, + [5688] = {.lex_state = 65}, + [5689] = {.lex_state = 65}, + [5690] = {.lex_state = 0}, + [5691] = {.lex_state = 65}, + [5692] = {.lex_state = 65}, + [5693] = {.lex_state = 65}, + [5694] = {.lex_state = 65}, + [5695] = {.lex_state = 65}, + [5696] = {.lex_state = 65}, + [5697] = {.lex_state = 65}, + [5698] = {.lex_state = 65}, + [5699] = {.lex_state = 65}, + [5700] = {.lex_state = 65}, + [5701] = {.lex_state = 1}, + [5702] = {.lex_state = 65}, + [5703] = {.lex_state = 65}, + [5704] = {.lex_state = 65}, + [5705] = {.lex_state = 65}, + [5706] = {.lex_state = 0}, + [5707] = {.lex_state = 0}, + [5708] = {.lex_state = 65}, + [5709] = {.lex_state = 65}, + [5710] = {.lex_state = 65}, + [5711] = {.lex_state = 65}, + [5712] = {.lex_state = 65}, + [5713] = {.lex_state = 65}, + [5714] = {.lex_state = 65}, + [5715] = {.lex_state = 65}, + [5716] = {.lex_state = 65}, + [5717] = {.lex_state = 65}, + [5718] = {.lex_state = 65}, + [5719] = {.lex_state = 65}, + [5720] = {.lex_state = 65}, + [5721] = {.lex_state = 65}, + [5722] = {.lex_state = 65}, + [5723] = {.lex_state = 65}, + [5724] = {.lex_state = 65}, + [5725] = {.lex_state = 65}, + [5726] = {.lex_state = 65}, + [5727] = {.lex_state = 65}, + [5728] = {.lex_state = 65}, + [5729] = {.lex_state = 65}, + [5730] = {.lex_state = 65}, + [5731] = {.lex_state = 0}, + [5732] = {.lex_state = 65}, + [5733] = {.lex_state = 65}, + [5734] = {.lex_state = 0}, + [5735] = {.lex_state = 65}, + [5736] = {.lex_state = 65}, + [5737] = {.lex_state = 65}, + [5738] = {.lex_state = 65}, + [5739] = {.lex_state = 65}, + [5740] = {.lex_state = 65}, + [5741] = {.lex_state = 0}, + [5742] = {.lex_state = 65}, + [5743] = {.lex_state = 65}, + [5744] = {.lex_state = 65}, + [5745] = {.lex_state = 65}, + [5746] = {.lex_state = 65}, + [5747] = {.lex_state = 65}, + [5748] = {.lex_state = 65}, + [5749] = {.lex_state = 65}, + [5750] = {.lex_state = 0}, + [5751] = {.lex_state = 0}, + [5752] = {.lex_state = 0}, + [5753] = {.lex_state = 0}, + [5754] = {.lex_state = 0}, + [5755] = {.lex_state = 65}, + [5756] = {.lex_state = 65}, + [5757] = {.lex_state = 1}, + [5758] = {.lex_state = 65}, + [5759] = {.lex_state = 65}, + [5760] = {.lex_state = 65}, + [5761] = {.lex_state = 1}, + [5762] = {.lex_state = 65}, + [5763] = {.lex_state = 1}, + [5764] = {.lex_state = 65}, + [5765] = {.lex_state = 65}, + [5766] = {.lex_state = 0}, + [5767] = {.lex_state = 65}, + [5768] = {.lex_state = 65}, + [5769] = {.lex_state = 65}, + [5770] = {.lex_state = 65}, + [5771] = {.lex_state = 65}, + [5772] = {.lex_state = 0}, + [5773] = {.lex_state = 0}, + [5774] = {.lex_state = 65}, + [5775] = {.lex_state = 65}, + [5776] = {.lex_state = 65}, + [5777] = {.lex_state = 65}, + [5778] = {.lex_state = 65}, + [5779] = {.lex_state = 1}, + [5780] = {.lex_state = 65}, + [5781] = {.lex_state = 65}, + [5782] = {.lex_state = 0}, + [5783] = {.lex_state = 65}, + [5784] = {.lex_state = 65}, + [5785] = {.lex_state = 65}, + [5786] = {.lex_state = 0}, + [5787] = {.lex_state = 65}, + [5788] = {.lex_state = 65}, + [5789] = {.lex_state = 65}, + [5790] = {.lex_state = 0}, + [5791] = {.lex_state = 1}, + [5792] = {.lex_state = 65}, + [5793] = {.lex_state = 65}, + [5794] = {.lex_state = 65}, + [5795] = {.lex_state = 65}, + [5796] = {.lex_state = 1}, + [5797] = {.lex_state = 1}, + [5798] = {.lex_state = 1}, + [5799] = {.lex_state = 65}, + [5800] = {.lex_state = 65}, + [5801] = {.lex_state = 1}, + [5802] = {.lex_state = 1}, + [5803] = {.lex_state = 65}, + [5804] = {.lex_state = 1}, + [5805] = {.lex_state = 65}, + [5806] = {.lex_state = 1}, + [5807] = {.lex_state = 65}, + [5808] = {.lex_state = 1}, + [5809] = {.lex_state = 1}, + [5810] = {.lex_state = 65}, + [5811] = {.lex_state = 65}, + [5812] = {.lex_state = 65}, + [5813] = {.lex_state = 1}, + [5814] = {.lex_state = 65}, + [5815] = {.lex_state = 65}, + [5816] = {.lex_state = 0}, + [5817] = {.lex_state = 1}, + [5818] = {.lex_state = 65}, + [5819] = {.lex_state = 65}, + [5820] = {.lex_state = 65}, + [5821] = {.lex_state = 65}, + [5822] = {.lex_state = 1}, + [5823] = {.lex_state = 65}, + [5824] = {.lex_state = 65}, + [5825] = {.lex_state = 65}, + [5826] = {.lex_state = 1}, + [5827] = {.lex_state = 65}, + [5828] = {.lex_state = 1}, + [5829] = {.lex_state = 65}, + [5830] = {.lex_state = 65}, + [5831] = {.lex_state = 0}, + [5832] = {.lex_state = 1}, + [5833] = {.lex_state = 65}, + [5834] = {.lex_state = 0}, + [5835] = {.lex_state = 65}, + [5836] = {.lex_state = 65}, + [5837] = {.lex_state = 1}, + [5838] = {.lex_state = 0}, + [5839] = {.lex_state = 65}, + [5840] = {.lex_state = 65}, + [5841] = {.lex_state = 1}, + [5842] = {.lex_state = 65}, + [5843] = {.lex_state = 65}, + [5844] = {.lex_state = 65}, + [5845] = {.lex_state = 65}, + [5846] = {.lex_state = 65}, + [5847] = {.lex_state = 1}, + [5848] = {.lex_state = 65}, + [5849] = {.lex_state = 65}, + [5850] = {.lex_state = 1}, + [5851] = {.lex_state = 65}, + [5852] = {.lex_state = 65}, + [5853] = {.lex_state = 65}, + [5854] = {.lex_state = 65}, + [5855] = {.lex_state = 65}, + [5856] = {.lex_state = 65}, + [5857] = {.lex_state = 65}, + [5858] = {.lex_state = 65}, + [5859] = {.lex_state = 65}, + [5860] = {.lex_state = 65}, + [5861] = {.lex_state = 65}, + [5862] = {.lex_state = 1}, + [5863] = {.lex_state = 1}, + [5864] = {.lex_state = 65}, + [5865] = {.lex_state = 65}, + [5866] = {.lex_state = 65}, + [5867] = {.lex_state = 65}, + [5868] = {.lex_state = 65}, + [5869] = {.lex_state = 65}, + [5870] = {.lex_state = 65}, + [5871] = {.lex_state = 65}, + [5872] = {.lex_state = 1}, + [5873] = {.lex_state = 65}, + [5874] = {.lex_state = 65}, + [5875] = {.lex_state = 0}, + [5876] = {.lex_state = 65}, + [5877] = {.lex_state = 0}, + [5878] = {.lex_state = 65}, + [5879] = {.lex_state = 65}, + [5880] = {.lex_state = 0}, + [5881] = {.lex_state = 65}, + [5882] = {.lex_state = 65}, + [5883] = {.lex_state = 0}, + [5884] = {.lex_state = 65}, + [5885] = {.lex_state = 65}, + [5886] = {.lex_state = 65}, + [5887] = {.lex_state = 65}, + [5888] = {.lex_state = 65}, + [5889] = {.lex_state = 65}, + [5890] = {.lex_state = 0}, + [5891] = {.lex_state = 65}, + [5892] = {.lex_state = 65}, + [5893] = {.lex_state = 0}, + [5894] = {.lex_state = 1}, + [5895] = {.lex_state = 1}, + [5896] = {.lex_state = 1}, + [5897] = {.lex_state = 65}, + [5898] = {.lex_state = 65}, + [5899] = {.lex_state = 65}, + [5900] = {.lex_state = 65}, + [5901] = {.lex_state = 65}, + [5902] = {.lex_state = 65}, + [5903] = {.lex_state = 0}, + [5904] = {.lex_state = 65}, + [5905] = {.lex_state = 65}, + [5906] = {.lex_state = 65}, + [5907] = {.lex_state = 65}, + [5908] = {.lex_state = 65}, + [5909] = {.lex_state = 65}, + [5910] = {.lex_state = 65}, + [5911] = {.lex_state = 65}, + [5912] = {.lex_state = 1}, + [5913] = {.lex_state = 65}, + [5914] = {.lex_state = 65}, + [5915] = {.lex_state = 65}, + [5916] = {.lex_state = 65}, + [5917] = {.lex_state = 65}, + [5918] = {.lex_state = 65}, + [5919] = {.lex_state = 65}, + [5920] = {.lex_state = 65}, + [5921] = {.lex_state = 65}, + [5922] = {.lex_state = 0}, + [5923] = {.lex_state = 65}, + [5924] = {.lex_state = 65}, + [5925] = {.lex_state = 65}, + [5926] = {.lex_state = 65}, + [5927] = {.lex_state = 65}, + [5928] = {.lex_state = 0}, + [5929] = {.lex_state = 65}, + [5930] = {.lex_state = 65}, + [5931] = {.lex_state = 65}, + [5932] = {.lex_state = 65}, + [5933] = {.lex_state = 65}, + [5934] = {.lex_state = 65}, + [5935] = {.lex_state = 65}, + [5936] = {.lex_state = 65}, + [5937] = {.lex_state = 65}, + [5938] = {.lex_state = 65}, + [5939] = {.lex_state = 65}, + [5940] = {.lex_state = 65}, + [5941] = {.lex_state = 65}, + [5942] = {.lex_state = 65}, + [5943] = {.lex_state = 65}, + [5944] = {.lex_state = 65}, + [5945] = {.lex_state = 65}, + [5946] = {.lex_state = 65}, + [5947] = {.lex_state = 65}, + [5948] = {.lex_state = 65}, + [5949] = {.lex_state = 65}, + [5950] = {.lex_state = 65}, + [5951] = {.lex_state = 65}, + [5952] = {.lex_state = 65}, + [5953] = {.lex_state = 65}, + [5954] = {.lex_state = 65}, + [5955] = {.lex_state = 65}, + [5956] = {.lex_state = 65}, + [5957] = {.lex_state = 1}, + [5958] = {.lex_state = 65}, + [5959] = {.lex_state = 65}, + [5960] = {.lex_state = 65}, + [5961] = {.lex_state = 65}, + [5962] = {.lex_state = 65}, + [5963] = {.lex_state = 65}, + [5964] = {.lex_state = 65}, + [5965] = {.lex_state = 65}, + [5966] = {.lex_state = 65}, + [5967] = {.lex_state = 65}, + [5968] = {.lex_state = 65}, + [5969] = {.lex_state = 65}, + [5970] = {.lex_state = 65}, + [5971] = {.lex_state = 65}, + [5972] = {.lex_state = 65}, + [5973] = {.lex_state = 65}, + [5974] = {.lex_state = 65}, + [5975] = {.lex_state = 65}, + [5976] = {.lex_state = 65}, + [5977] = {.lex_state = 65}, + [5978] = {.lex_state = 65}, + [5979] = {.lex_state = 65}, + [5980] = {.lex_state = 65}, + [5981] = {.lex_state = 65}, + [5982] = {.lex_state = 65}, + [5983] = {.lex_state = 65}, + [5984] = {.lex_state = 65}, + [5985] = {.lex_state = 65}, + [5986] = {.lex_state = 65}, + [5987] = {.lex_state = 65}, + [5988] = {.lex_state = 65}, + [5989] = {.lex_state = 65}, + [5990] = {.lex_state = 65}, + [5991] = {.lex_state = 65}, + [5992] = {.lex_state = 65}, + [5993] = {.lex_state = 65}, + [5994] = {.lex_state = 65}, + [5995] = {.lex_state = 65}, + [5996] = {.lex_state = 65}, + [5997] = {.lex_state = 65}, + [5998] = {.lex_state = 65}, + [5999] = {.lex_state = 65}, + [6000] = {.lex_state = 65}, + [6001] = {.lex_state = 65}, + [6002] = {.lex_state = 65}, + [6003] = {.lex_state = 65}, + [6004] = {.lex_state = 65}, + [6005] = {.lex_state = 65}, + [6006] = {.lex_state = 65}, + [6007] = {.lex_state = 65}, + [6008] = {.lex_state = 65}, + [6009] = {.lex_state = 65}, + [6010] = {.lex_state = 65}, + [6011] = {.lex_state = 65}, + [6012] = {.lex_state = 65}, + [6013] = {.lex_state = 65}, + [6014] = {.lex_state = 65}, + [6015] = {.lex_state = 65}, + [6016] = {.lex_state = 65}, + [6017] = {.lex_state = 65}, + [6018] = {.lex_state = 65}, + [6019] = {.lex_state = 65}, + [6020] = {.lex_state = 65}, + [6021] = {.lex_state = 65}, + [6022] = {.lex_state = 65}, + [6023] = {.lex_state = 65}, + [6024] = {.lex_state = 65}, + [6025] = {.lex_state = 65}, + [6026] = {.lex_state = 65}, + [6027] = {.lex_state = 65}, + [6028] = {.lex_state = 65}, + [6029] = {.lex_state = 65}, + [6030] = {.lex_state = 65}, + [6031] = {.lex_state = 65}, + [6032] = {.lex_state = 65}, + [6033] = {.lex_state = 65}, + [6034] = {.lex_state = 65}, + [6035] = {.lex_state = 65}, + [6036] = {.lex_state = 0}, + [6037] = {.lex_state = 0}, + [6038] = {.lex_state = 65}, + [6039] = {.lex_state = 65}, + [6040] = {.lex_state = 65}, + [6041] = {.lex_state = 65}, + [6042] = {.lex_state = 65}, + [6043] = {.lex_state = 65}, + [6044] = {.lex_state = 65}, + [6045] = {.lex_state = 65}, + [6046] = {.lex_state = 65}, + [6047] = {.lex_state = 0}, + [6048] = {.lex_state = 65}, + [6049] = {.lex_state = 0}, + [6050] = {.lex_state = 65}, + [6051] = {.lex_state = 65}, + [6052] = {.lex_state = 65}, + [6053] = {.lex_state = 65}, + [6054] = {.lex_state = 65}, + [6055] = {.lex_state = 65}, + [6056] = {.lex_state = 65}, + [6057] = {.lex_state = 65}, + [6058] = {.lex_state = 65}, + [6059] = {.lex_state = 65}, + [6060] = {.lex_state = 65}, + [6061] = {.lex_state = 65}, + [6062] = {.lex_state = 65}, + [6063] = {.lex_state = 65}, + [6064] = {.lex_state = 65}, + [6065] = {.lex_state = 65}, + [6066] = {.lex_state = 65}, + [6067] = {.lex_state = 1}, + [6068] = {.lex_state = 1}, + [6069] = {.lex_state = 65}, + [6070] = {.lex_state = 65}, + [6071] = {.lex_state = 65}, + [6072] = {.lex_state = 65}, + [6073] = {.lex_state = 65}, + [6074] = {.lex_state = 65}, + [6075] = {.lex_state = 65}, + [6076] = {.lex_state = 65}, + [6077] = {.lex_state = 65}, + [6078] = {.lex_state = 65}, + [6079] = {.lex_state = 65}, + [6080] = {.lex_state = 65}, + [6081] = {.lex_state = 65}, + [6082] = {.lex_state = 0}, + [6083] = {.lex_state = 0}, + [6084] = {.lex_state = 0}, + [6085] = {.lex_state = 65}, + [6086] = {.lex_state = 65}, + [6087] = {.lex_state = 65}, + [6088] = {.lex_state = 65}, + [6089] = {.lex_state = 65}, + [6090] = {.lex_state = 65}, + [6091] = {.lex_state = 65}, + [6092] = {.lex_state = 65}, + [6093] = {.lex_state = 65}, + [6094] = {.lex_state = 65}, + [6095] = {.lex_state = 65}, + [6096] = {.lex_state = 65}, + [6097] = {.lex_state = 65}, + [6098] = {.lex_state = 65}, + [6099] = {.lex_state = 65}, + [6100] = {.lex_state = 65}, + [6101] = {.lex_state = 65}, + [6102] = {.lex_state = 65}, + [6103] = {.lex_state = 65}, + [6104] = {.lex_state = 65}, + [6105] = {.lex_state = 65}, + [6106] = {.lex_state = 65}, + [6107] = {.lex_state = 65}, + [6108] = {.lex_state = 65}, + [6109] = {.lex_state = 65}, + [6110] = {.lex_state = 65}, + [6111] = {.lex_state = 65}, + [6112] = {.lex_state = 0}, + [6113] = {.lex_state = 65}, + [6114] = {.lex_state = 0}, + [6115] = {.lex_state = 0}, + [6116] = {.lex_state = 0}, + [6117] = {.lex_state = 65}, + [6118] = {.lex_state = 1}, + [6119] = {.lex_state = 65}, + [6120] = {.lex_state = 65}, + [6121] = {.lex_state = 1}, + [6122] = {.lex_state = 65}, + [6123] = {.lex_state = 65}, + [6124] = {.lex_state = 65}, + [6125] = {.lex_state = 65}, + [6126] = {.lex_state = 65}, + [6127] = {.lex_state = 65}, + [6128] = {.lex_state = 65}, + [6129] = {.lex_state = 65}, + [6130] = {.lex_state = 65}, + [6131] = {.lex_state = 65}, + [6132] = {.lex_state = 65}, + [6133] = {.lex_state = 65}, + [6134] = {.lex_state = 65}, + [6135] = {.lex_state = 65}, + [6136] = {.lex_state = 65}, + [6137] = {.lex_state = 65}, + [6138] = {.lex_state = 65}, + [6139] = {.lex_state = 65}, + [6140] = {.lex_state = 65}, + [6141] = {.lex_state = 65}, + [6142] = {.lex_state = 65}, + [6143] = {.lex_state = 65}, + [6144] = {.lex_state = 65}, + [6145] = {.lex_state = 65}, + [6146] = {.lex_state = 65}, + [6147] = {.lex_state = 65}, + [6148] = {.lex_state = 65}, + [6149] = {.lex_state = 65}, + [6150] = {.lex_state = 65}, + [6151] = {.lex_state = 65}, + [6152] = {.lex_state = 65}, + [6153] = {.lex_state = 65}, + [6154] = {.lex_state = 65}, + [6155] = {.lex_state = 65}, + [6156] = {.lex_state = 65}, + [6157] = {.lex_state = 65}, + [6158] = {.lex_state = 65}, + [6159] = {.lex_state = 65}, + [6160] = {.lex_state = 65}, + [6161] = {.lex_state = 65}, + [6162] = {.lex_state = 65}, + [6163] = {.lex_state = 65}, + [6164] = {.lex_state = 65}, + [6165] = {.lex_state = 65}, + [6166] = {.lex_state = 65}, + [6167] = {.lex_state = 0}, + [6168] = {.lex_state = 0}, + [6169] = {.lex_state = 65}, + [6170] = {.lex_state = 65}, + [6171] = {.lex_state = 65}, + [6172] = {.lex_state = 65}, + [6173] = {.lex_state = 65}, + [6174] = {.lex_state = 65}, + [6175] = {.lex_state = 65}, + [6176] = {.lex_state = 65}, + [6177] = {.lex_state = 65}, + [6178] = {.lex_state = 0}, + [6179] = {.lex_state = 0}, + [6180] = {.lex_state = 65}, + [6181] = {.lex_state = 65}, + [6182] = {.lex_state = 65}, + [6183] = {.lex_state = 65}, + [6184] = {.lex_state = 65}, + [6185] = {.lex_state = 65}, + [6186] = {.lex_state = 65}, + [6187] = {.lex_state = 65}, + [6188] = {.lex_state = 65}, + [6189] = {.lex_state = 65}, + [6190] = {.lex_state = 0}, + [6191] = {.lex_state = 0}, + [6192] = {.lex_state = 0}, + [6193] = {.lex_state = 65}, + [6194] = {.lex_state = 65}, + [6195] = {.lex_state = 65}, + [6196] = {.lex_state = 65}, + [6197] = {.lex_state = 0}, + [6198] = {.lex_state = 65}, + [6199] = {.lex_state = 0}, + [6200] = {.lex_state = 0}, + [6201] = {.lex_state = 0}, + [6202] = {.lex_state = 65}, + [6203] = {.lex_state = 65}, + [6204] = {.lex_state = 65}, + [6205] = {.lex_state = 65}, + [6206] = {.lex_state = 65}, + [6207] = {.lex_state = 65}, + [6208] = {.lex_state = 65}, + [6209] = {.lex_state = 65}, + [6210] = {.lex_state = 65}, + [6211] = {.lex_state = 65}, + [6212] = {.lex_state = 65}, + [6213] = {.lex_state = 65}, + [6214] = {.lex_state = 65}, + [6215] = {.lex_state = 65}, + [6216] = {.lex_state = 65}, + [6217] = {.lex_state = 65}, + [6218] = {.lex_state = 65}, + [6219] = {.lex_state = 65}, + [6220] = {.lex_state = 65}, + [6221] = {.lex_state = 65}, + [6222] = {.lex_state = 65}, + [6223] = {.lex_state = 65}, + [6224] = {.lex_state = 65}, + [6225] = {.lex_state = 65}, + [6226] = {.lex_state = 65}, + [6227] = {.lex_state = 65}, + [6228] = {.lex_state = 65}, + [6229] = {.lex_state = 65}, + [6230] = {.lex_state = 65}, + [6231] = {.lex_state = 65}, + [6232] = {.lex_state = 65}, + [6233] = {.lex_state = 65}, + [6234] = {.lex_state = 65}, + [6235] = {.lex_state = 65}, + [6236] = {.lex_state = 65}, + [6237] = {.lex_state = 0}, + [6238] = {.lex_state = 65}, + [6239] = {.lex_state = 65}, + [6240] = {.lex_state = 65}, + [6241] = {.lex_state = 65}, + [6242] = {.lex_state = 65}, + [6243] = {.lex_state = 0}, + [6244] = {.lex_state = 0}, + [6245] = {.lex_state = 65}, + [6246] = {.lex_state = 65}, + [6247] = {.lex_state = 65}, + [6248] = {.lex_state = 65}, + [6249] = {.lex_state = 65}, + [6250] = {.lex_state = 0}, + [6251] = {.lex_state = 0}, + [6252] = {.lex_state = 1}, + [6253] = {.lex_state = 65}, + [6254] = {.lex_state = 65}, + [6255] = {.lex_state = 65}, + [6256] = {.lex_state = 0}, + [6257] = {.lex_state = 0}, + [6258] = {.lex_state = 0}, + [6259] = {.lex_state = 65}, + [6260] = {.lex_state = 65}, + [6261] = {.lex_state = 0}, + [6262] = {.lex_state = 65}, + [6263] = {.lex_state = 0}, + [6264] = {.lex_state = 0}, + [6265] = {.lex_state = 0}, + [6266] = {.lex_state = 65}, + [6267] = {.lex_state = 65}, + [6268] = {.lex_state = 65}, + [6269] = {.lex_state = 0}, + [6270] = {.lex_state = 0}, + [6271] = {.lex_state = 65}, + [6272] = {.lex_state = 65}, + [6273] = {.lex_state = 65}, + [6274] = {.lex_state = 65}, + [6275] = {.lex_state = 65}, + [6276] = {.lex_state = 0}, + [6277] = {.lex_state = 65}, + [6278] = {.lex_state = 65}, + [6279] = {.lex_state = 0}, + [6280] = {.lex_state = 0}, + [6281] = {.lex_state = 65}, + [6282] = {.lex_state = 0}, + [6283] = {.lex_state = 0}, + [6284] = {.lex_state = 0}, + [6285] = {.lex_state = 0}, + [6286] = {.lex_state = 0}, + [6287] = {.lex_state = 0}, + [6288] = {.lex_state = 65}, + [6289] = {.lex_state = 65}, + [6290] = {.lex_state = 65}, + [6291] = {.lex_state = 65}, + [6292] = {.lex_state = 0}, + [6293] = {.lex_state = 65}, + [6294] = {.lex_state = 0}, + [6295] = {.lex_state = 0}, + [6296] = {.lex_state = 65}, + [6297] = {.lex_state = 65}, + [6298] = {.lex_state = 65}, + [6299] = {.lex_state = 65}, + [6300] = {.lex_state = 65}, + [6301] = {.lex_state = 65}, + [6302] = {.lex_state = 0}, + [6303] = {.lex_state = 0}, + [6304] = {.lex_state = 65}, + [6305] = {.lex_state = 65}, + [6306] = {.lex_state = 65}, + [6307] = {.lex_state = 65}, + [6308] = {.lex_state = 65}, + [6309] = {.lex_state = 0}, + [6310] = {.lex_state = 0}, + [6311] = {.lex_state = 65}, + [6312] = {.lex_state = 65}, + [6313] = {.lex_state = 65}, + [6314] = {.lex_state = 65}, + [6315] = {.lex_state = 65}, + [6316] = {.lex_state = 0}, + [6317] = {.lex_state = 0}, + [6318] = {.lex_state = 65}, + [6319] = {.lex_state = 65}, + [6320] = {.lex_state = 0}, + [6321] = {.lex_state = 65}, + [6322] = {.lex_state = 65}, + [6323] = {.lex_state = 65}, + [6324] = {.lex_state = 65}, + [6325] = {.lex_state = 0}, + [6326] = {.lex_state = 65}, + [6327] = {.lex_state = 0}, + [6328] = {.lex_state = 65}, + [6329] = {.lex_state = 65}, + [6330] = {.lex_state = 65}, + [6331] = {.lex_state = 65}, + [6332] = {.lex_state = 65}, + [6333] = {.lex_state = 65}, + [6334] = {.lex_state = 65}, + [6335] = {.lex_state = 65}, + [6336] = {.lex_state = 65}, + [6337] = {.lex_state = 65}, + [6338] = {.lex_state = 65}, + [6339] = {.lex_state = 65}, + [6340] = {.lex_state = 65}, + [6341] = {.lex_state = 65}, + [6342] = {.lex_state = 65}, + [6343] = {.lex_state = 65}, + [6344] = {.lex_state = 65}, + [6345] = {.lex_state = 65}, + [6346] = {.lex_state = 65}, + [6347] = {.lex_state = 65}, + [6348] = {.lex_state = 65}, + [6349] = {.lex_state = 65}, + [6350] = {.lex_state = 65}, + [6351] = {.lex_state = 65}, + [6352] = {.lex_state = 65}, + [6353] = {.lex_state = 65}, + [6354] = {.lex_state = 65}, + [6355] = {.lex_state = 65}, + [6356] = {.lex_state = 65}, + [6357] = {.lex_state = 65}, + [6358] = {.lex_state = 65}, + [6359] = {.lex_state = 65}, + [6360] = {.lex_state = 65}, + [6361] = {.lex_state = 65}, + [6362] = {.lex_state = 65}, + [6363] = {.lex_state = 65}, + [6364] = {.lex_state = 65}, + [6365] = {.lex_state = 65}, + [6366] = {.lex_state = 65}, + [6367] = {.lex_state = 65}, + [6368] = {.lex_state = 65}, + [6369] = {.lex_state = 65}, + [6370] = {.lex_state = 65}, + [6371] = {.lex_state = 65}, + [6372] = {.lex_state = 65}, + [6373] = {.lex_state = 65}, + [6374] = {.lex_state = 65}, + [6375] = {.lex_state = 65}, + [6376] = {.lex_state = 65}, + [6377] = {.lex_state = 65}, + [6378] = {.lex_state = 65}, + [6379] = {.lex_state = 65}, + [6380] = {.lex_state = 0}, + [6381] = {.lex_state = 65}, + [6382] = {.lex_state = 65}, + [6383] = {.lex_state = 65}, + [6384] = {.lex_state = 65}, + [6385] = {.lex_state = 65}, + [6386] = {.lex_state = 65}, + [6387] = {.lex_state = 65}, + [6388] = {.lex_state = 65}, + [6389] = {.lex_state = 65}, + [6390] = {.lex_state = 65}, + [6391] = {.lex_state = 65}, + [6392] = {.lex_state = 65}, + [6393] = {.lex_state = 65}, + [6394] = {.lex_state = 65}, + [6395] = {.lex_state = 65}, + [6396] = {.lex_state = 65}, + [6397] = {.lex_state = 65}, + [6398] = {.lex_state = 65}, + [6399] = {.lex_state = 65}, + [6400] = {.lex_state = 65}, + [6401] = {.lex_state = 65}, + [6402] = {.lex_state = 65}, + [6403] = {.lex_state = 65}, + [6404] = {.lex_state = 65}, + [6405] = {.lex_state = 65}, + [6406] = {.lex_state = 65}, + [6407] = {.lex_state = 65}, + [6408] = {.lex_state = 65}, + [6409] = {.lex_state = 65}, + [6410] = {.lex_state = 65}, + [6411] = {.lex_state = 65}, + [6412] = {.lex_state = 65}, + [6413] = {.lex_state = 65}, + [6414] = {.lex_state = 65}, + [6415] = {.lex_state = 65}, + [6416] = {.lex_state = 65}, + [6417] = {.lex_state = 65}, + [6418] = {.lex_state = 65}, + [6419] = {.lex_state = 65}, + [6420] = {.lex_state = 65}, + [6421] = {.lex_state = 65}, + [6422] = {.lex_state = 65}, + [6423] = {.lex_state = 65}, + [6424] = {.lex_state = 65}, + [6425] = {.lex_state = 65}, + [6426] = {.lex_state = 65}, + [6427] = {.lex_state = 65}, + [6428] = {.lex_state = 65}, + [6429] = {.lex_state = 65}, + [6430] = {.lex_state = 65}, + [6431] = {.lex_state = 65}, + [6432] = {.lex_state = 65}, + [6433] = {.lex_state = 65}, + [6434] = {.lex_state = 65}, + [6435] = {.lex_state = 65}, + [6436] = {.lex_state = 65}, + [6437] = {.lex_state = 65}, + [6438] = {.lex_state = 65}, + [6439] = {.lex_state = 65}, + [6440] = {.lex_state = 0}, + [6441] = {.lex_state = 0}, + [6442] = {.lex_state = 65}, + [6443] = {.lex_state = 65}, + [6444] = {.lex_state = 65}, + [6445] = {.lex_state = 65}, + [6446] = {.lex_state = 65}, + [6447] = {.lex_state = 65}, + [6448] = {.lex_state = 65}, + [6449] = {.lex_state = 65}, + [6450] = {.lex_state = 65}, + [6451] = {.lex_state = 65}, + [6452] = {.lex_state = 65}, + [6453] = {.lex_state = 65}, + [6454] = {.lex_state = 0}, + [6455] = {.lex_state = 65}, + [6456] = {.lex_state = 65}, + [6457] = {.lex_state = 65}, + [6458] = {.lex_state = 65}, + [6459] = {.lex_state = 65}, + [6460] = {.lex_state = 65}, + [6461] = {.lex_state = 65}, + [6462] = {.lex_state = 65}, + [6463] = {.lex_state = 65}, + [6464] = {.lex_state = 65}, + [6465] = {.lex_state = 65}, + [6466] = {.lex_state = 65}, + [6467] = {.lex_state = 65}, + [6468] = {.lex_state = 65}, + [6469] = {.lex_state = 65}, + [6470] = {.lex_state = 65}, + [6471] = {.lex_state = 65}, + [6472] = {.lex_state = 65}, + [6473] = {.lex_state = 65}, + [6474] = {.lex_state = 65}, + [6475] = {.lex_state = 65}, + [6476] = {.lex_state = 65}, + [6477] = {.lex_state = 65}, + [6478] = {.lex_state = 65}, + [6479] = {.lex_state = 65}, + [6480] = {.lex_state = 65}, + [6481] = {.lex_state = 65}, + [6482] = {.lex_state = 65}, + [6483] = {.lex_state = 0}, + [6484] = {.lex_state = 65}, + [6485] = {.lex_state = 65}, + [6486] = {.lex_state = 0}, + [6487] = {.lex_state = 0}, + [6488] = {.lex_state = 65}, + [6489] = {.lex_state = 65}, + [6490] = {.lex_state = 65}, + [6491] = {.lex_state = 65}, + [6492] = {.lex_state = 65}, + [6493] = {.lex_state = 65}, + [6494] = {.lex_state = 65}, + [6495] = {.lex_state = 65}, + [6496] = {.lex_state = 65}, + [6497] = {.lex_state = 65}, + [6498] = {.lex_state = 0}, + [6499] = {.lex_state = 0}, + [6500] = {.lex_state = 65}, + [6501] = {.lex_state = 65}, + [6502] = {.lex_state = 65}, + [6503] = {.lex_state = 65}, + [6504] = {.lex_state = 0}, + [6505] = {.lex_state = 65}, + [6506] = {.lex_state = 65}, + [6507] = {.lex_state = 65}, + [6508] = {.lex_state = 65}, + [6509] = {.lex_state = 65}, + [6510] = {.lex_state = 65}, + [6511] = {.lex_state = 65}, + [6512] = {.lex_state = 65}, + [6513] = {.lex_state = 65}, + [6514] = {.lex_state = 65}, + [6515] = {.lex_state = 65}, + [6516] = {.lex_state = 65}, + [6517] = {.lex_state = 65}, + [6518] = {.lex_state = 65}, + [6519] = {.lex_state = 65}, + [6520] = {.lex_state = 65}, + [6521] = {.lex_state = 65}, + [6522] = {.lex_state = 65}, + [6523] = {.lex_state = 65}, + [6524] = {.lex_state = 65}, + [6525] = {.lex_state = 65}, + [6526] = {.lex_state = 0}, + [6527] = {.lex_state = 65}, + [6528] = {.lex_state = 0}, + [6529] = {.lex_state = 65}, + [6530] = {.lex_state = 65}, + [6531] = {.lex_state = 65}, + [6532] = {.lex_state = 65}, + [6533] = {.lex_state = 0}, + [6534] = {.lex_state = 0}, + [6535] = {.lex_state = 0}, + [6536] = {.lex_state = 0}, + [6537] = {.lex_state = 65}, + [6538] = {.lex_state = 65}, + [6539] = {.lex_state = 0}, + [6540] = {.lex_state = 65}, + [6541] = {.lex_state = 65}, + [6542] = {.lex_state = 65}, + [6543] = {.lex_state = 65}, + [6544] = {.lex_state = 65}, + [6545] = {.lex_state = 65}, + [6546] = {.lex_state = 65}, + [6547] = {.lex_state = 0}, + [6548] = {.lex_state = 65}, + [6549] = {.lex_state = 0}, + [6550] = {.lex_state = 65}, + [6551] = {.lex_state = 65}, + [6552] = {.lex_state = 65}, + [6553] = {.lex_state = 65}, + [6554] = {.lex_state = 65}, + [6555] = {.lex_state = 65}, + [6556] = {.lex_state = 65}, + [6557] = {.lex_state = 65}, + [6558] = {.lex_state = 65}, + [6559] = {.lex_state = 65}, + [6560] = {.lex_state = 65}, + [6561] = {.lex_state = 65}, + [6562] = {.lex_state = 65}, + [6563] = {.lex_state = 65}, + [6564] = {.lex_state = 65}, + [6565] = {.lex_state = 65}, + [6566] = {.lex_state = 65}, + [6567] = {.lex_state = 65}, + [6568] = {.lex_state = 65}, + [6569] = {.lex_state = 0}, + [6570] = {.lex_state = 65}, + [6571] = {.lex_state = 65}, + [6572] = {.lex_state = 65}, + [6573] = {.lex_state = 65}, + [6574] = {.lex_state = 65}, + [6575] = {.lex_state = 65}, + [6576] = {.lex_state = 65}, + [6577] = {.lex_state = 65}, + [6578] = {.lex_state = 65}, + [6579] = {.lex_state = 65}, + [6580] = {.lex_state = 65}, + [6581] = {.lex_state = 65}, + [6582] = {.lex_state = 65}, + [6583] = {.lex_state = 65}, + [6584] = {.lex_state = 65}, + [6585] = {.lex_state = 65}, + [6586] = {.lex_state = 65}, + [6587] = {.lex_state = 65}, + [6588] = {.lex_state = 65}, + [6589] = {.lex_state = 65}, + [6590] = {.lex_state = 65}, + [6591] = {.lex_state = 65}, + [6592] = {.lex_state = 65}, + [6593] = {.lex_state = 65}, + [6594] = {.lex_state = 65}, + [6595] = {.lex_state = 65}, + [6596] = {.lex_state = 65}, + [6597] = {.lex_state = 65}, + [6598] = {.lex_state = 65}, + [6599] = {.lex_state = 65}, + [6600] = {.lex_state = 65}, + [6601] = {.lex_state = 65}, + [6602] = {.lex_state = 65}, + [6603] = {.lex_state = 65}, + [6604] = {.lex_state = 65}, + [6605] = {.lex_state = 65}, + [6606] = {.lex_state = 65}, + [6607] = {.lex_state = 65}, + [6608] = {.lex_state = 65}, + [6609] = {.lex_state = 65}, + [6610] = {.lex_state = 65}, + [6611] = {.lex_state = 65}, + [6612] = {.lex_state = 65}, + [6613] = {.lex_state = 65}, + [6614] = {.lex_state = 65}, + [6615] = {.lex_state = 65}, + [6616] = {.lex_state = 65}, + [6617] = {.lex_state = 65}, + [6618] = {.lex_state = 65}, + [6619] = {.lex_state = 65}, + [6620] = {.lex_state = 65}, + [6621] = {.lex_state = 65}, + [6622] = {.lex_state = 65}, + [6623] = {.lex_state = 65}, + [6624] = {.lex_state = 65}, + [6625] = {.lex_state = 65}, + [6626] = {.lex_state = 65}, + [6627] = {.lex_state = 65}, + [6628] = {.lex_state = 65}, + [6629] = {.lex_state = 65}, + [6630] = {.lex_state = 0}, + [6631] = {.lex_state = 65}, + [6632] = {.lex_state = 65}, + [6633] = {.lex_state = 65}, + [6634] = {.lex_state = 65}, + [6635] = {.lex_state = 65}, + [6636] = {.lex_state = 65}, + [6637] = {.lex_state = 65}, + [6638] = {.lex_state = 65}, + [6639] = {.lex_state = 65}, + [6640] = {.lex_state = 0}, + [6641] = {.lex_state = 0}, + [6642] = {.lex_state = 65}, + [6643] = {.lex_state = 65}, + [6644] = {.lex_state = 65}, + [6645] = {.lex_state = 65}, + [6646] = {.lex_state = 65}, + [6647] = {.lex_state = 65}, + [6648] = {.lex_state = 65}, + [6649] = {.lex_state = 65}, + [6650] = {.lex_state = 65}, + [6651] = {.lex_state = 65}, + [6652] = {.lex_state = 65}, + [6653] = {.lex_state = 65}, + [6654] = {.lex_state = 65}, + [6655] = {.lex_state = 65}, + [6656] = {.lex_state = 65}, + [6657] = {.lex_state = 65}, + [6658] = {.lex_state = 65}, + [6659] = {.lex_state = 65}, + [6660] = {.lex_state = 65}, + [6661] = {.lex_state = 65}, + [6662] = {.lex_state = 65}, + [6663] = {.lex_state = 65}, + [6664] = {.lex_state = 65}, + [6665] = {.lex_state = 65}, + [6666] = {.lex_state = 65}, + [6667] = {.lex_state = 65}, + [6668] = {.lex_state = 65}, + [6669] = {.lex_state = 65}, + [6670] = {.lex_state = 65}, + [6671] = {.lex_state = 65}, + [6672] = {.lex_state = 65}, + [6673] = {.lex_state = 65}, + [6674] = {.lex_state = 65}, + [6675] = {.lex_state = 0}, + [6676] = {.lex_state = 65}, + [6677] = {.lex_state = 65}, + [6678] = {.lex_state = 65}, + [6679] = {.lex_state = 65}, + [6680] = {.lex_state = 65}, + [6681] = {.lex_state = 65}, + [6682] = {.lex_state = 65}, + [6683] = {.lex_state = 65}, + [6684] = {.lex_state = 65}, + [6685] = {.lex_state = 0}, + [6686] = {.lex_state = 0}, + [6687] = {.lex_state = 0}, + [6688] = {.lex_state = 65}, + [6689] = {.lex_state = 65}, + [6690] = {.lex_state = 65}, + [6691] = {.lex_state = 65}, + [6692] = {.lex_state = 65}, + [6693] = {.lex_state = 65}, + [6694] = {.lex_state = 65}, + [6695] = {.lex_state = 65}, + [6696] = {.lex_state = 65}, + [6697] = {.lex_state = 65}, + [6698] = {.lex_state = 65}, + [6699] = {.lex_state = 65}, + [6700] = {.lex_state = 65}, + [6701] = {.lex_state = 65}, + [6702] = {.lex_state = 65}, + [6703] = {.lex_state = 65}, + [6704] = {.lex_state = 65}, + [6705] = {.lex_state = 65}, + [6706] = {.lex_state = 65}, + [6707] = {.lex_state = 65}, + [6708] = {.lex_state = 65}, + [6709] = {.lex_state = 65}, + [6710] = {.lex_state = 65}, + [6711] = {.lex_state = 65}, + [6712] = {.lex_state = 65}, + [6713] = {.lex_state = 65}, + [6714] = {.lex_state = 65}, + [6715] = {.lex_state = 65}, + [6716] = {.lex_state = 65}, + [6717] = {.lex_state = 65}, + [6718] = {.lex_state = 65}, + [6719] = {.lex_state = 65}, + [6720] = {.lex_state = 65}, + [6721] = {.lex_state = 65}, + [6722] = {.lex_state = 65}, + [6723] = {.lex_state = 65}, + [6724] = {.lex_state = 65}, + [6725] = {.lex_state = 65}, + [6726] = {.lex_state = 65}, + [6727] = {.lex_state = 65}, + [6728] = {.lex_state = 0}, + [6729] = {.lex_state = 65}, + [6730] = {.lex_state = 65}, + [6731] = {.lex_state = 65}, + [6732] = {.lex_state = 65}, + [6733] = {.lex_state = 65}, + [6734] = {.lex_state = 65}, + [6735] = {.lex_state = 65}, + [6736] = {.lex_state = 65}, + [6737] = {.lex_state = 65}, + [6738] = {.lex_state = 65}, + [6739] = {.lex_state = 65}, + [6740] = {.lex_state = 65}, + [6741] = {.lex_state = 65}, + [6742] = {.lex_state = 65}, + [6743] = {.lex_state = 65}, + [6744] = {.lex_state = 65}, + [6745] = {.lex_state = 65}, + [6746] = {.lex_state = 65}, + [6747] = {.lex_state = 65}, + [6748] = {.lex_state = 65}, + [6749] = {.lex_state = 65}, + [6750] = {.lex_state = 65}, + [6751] = {.lex_state = 65}, + [6752] = {.lex_state = 65}, + [6753] = {.lex_state = 65}, + [6754] = {.lex_state = 65}, + [6755] = {.lex_state = 65}, + [6756] = {.lex_state = 65}, + [6757] = {.lex_state = 65}, + [6758] = {.lex_state = 65}, + [6759] = {.lex_state = 65}, + [6760] = {.lex_state = 65}, + [6761] = {.lex_state = 65}, + [6762] = {.lex_state = 65}, + [6763] = {.lex_state = 65}, + [6764] = {.lex_state = 65}, + [6765] = {.lex_state = 65}, + [6766] = {.lex_state = 65}, + [6767] = {.lex_state = 65}, + [6768] = {.lex_state = 65}, + [6769] = {.lex_state = 65}, + [6770] = {.lex_state = 65}, + [6771] = {.lex_state = 65}, + [6772] = {.lex_state = 65}, + [6773] = {.lex_state = 65}, + [6774] = {.lex_state = 65}, + [6775] = {.lex_state = 65}, + [6776] = {.lex_state = 65}, + [6777] = {.lex_state = 65}, + [6778] = {.lex_state = 65}, + [6779] = {.lex_state = 65}, + [6780] = {.lex_state = 65}, + [6781] = {.lex_state = 65}, + [6782] = {.lex_state = 65}, + [6783] = {.lex_state = 65}, + [6784] = {.lex_state = 65}, + [6785] = {.lex_state = 65}, + [6786] = {.lex_state = 65}, + [6787] = {.lex_state = 65}, + [6788] = {.lex_state = 65}, + [6789] = {.lex_state = 65}, + [6790] = {.lex_state = 65}, + [6791] = {.lex_state = 65}, + [6792] = {.lex_state = 65}, + [6793] = {.lex_state = 65}, + [6794] = {.lex_state = 65}, + [6795] = {.lex_state = 65}, + [6796] = {.lex_state = 1}, + [6797] = {.lex_state = 65}, + [6798] = {.lex_state = 65}, + [6799] = {.lex_state = 65}, + [6800] = {.lex_state = 65}, + [6801] = {.lex_state = 65}, + [6802] = {.lex_state = 65}, + [6803] = {.lex_state = 65}, + [6804] = {.lex_state = 65}, + [6805] = {.lex_state = 65}, + [6806] = {.lex_state = 65}, + [6807] = {.lex_state = 65}, + [6808] = {.lex_state = 65}, + [6809] = {.lex_state = 65}, + [6810] = {.lex_state = 65}, + [6811] = {.lex_state = 65}, + [6812] = {.lex_state = 65}, + [6813] = {.lex_state = 65}, + [6814] = {.lex_state = 0}, + [6815] = {.lex_state = 65}, + [6816] = {.lex_state = 65}, + [6817] = {.lex_state = 65}, + [6818] = {.lex_state = 65}, + [6819] = {.lex_state = 65}, + [6820] = {.lex_state = 0}, + [6821] = {.lex_state = 65}, + [6822] = {.lex_state = 65}, + [6823] = {.lex_state = 65}, + [6824] = {.lex_state = 65}, + [6825] = {.lex_state = 0}, + [6826] = {.lex_state = 0}, + [6827] = {.lex_state = 65}, + [6828] = {.lex_state = 65}, + [6829] = {.lex_state = 65}, + [6830] = {.lex_state = 65}, + [6831] = {.lex_state = 65}, + [6832] = {.lex_state = 65}, + [6833] = {.lex_state = 65}, + [6834] = {.lex_state = 65}, + [6835] = {.lex_state = 65}, + [6836] = {.lex_state = 65}, + [6837] = {.lex_state = 65}, + [6838] = {.lex_state = 0}, + [6839] = {.lex_state = 0}, + [6840] = {.lex_state = 65}, + [6841] = {.lex_state = 0}, + [6842] = {.lex_state = 65}, + [6843] = {.lex_state = 65}, + [6844] = {.lex_state = 65}, + [6845] = {.lex_state = 65}, + [6846] = {.lex_state = 0}, + [6847] = {.lex_state = 65}, + [6848] = {.lex_state = 65}, + [6849] = {.lex_state = 65}, + [6850] = {.lex_state = 65}, + [6851] = {.lex_state = 65}, + [6852] = {.lex_state = 65}, + [6853] = {.lex_state = 65}, + [6854] = {.lex_state = 65}, + [6855] = {.lex_state = 65}, + [6856] = {.lex_state = 65}, + [6857] = {.lex_state = 65}, + [6858] = {.lex_state = 65}, + [6859] = {.lex_state = 65}, + [6860] = {.lex_state = 65}, + [6861] = {.lex_state = 65}, + [6862] = {.lex_state = 65}, + [6863] = {.lex_state = 65}, + [6864] = {.lex_state = 65}, + [6865] = {.lex_state = 65}, + [6866] = {.lex_state = 65}, + [6867] = {.lex_state = 65}, + [6868] = {.lex_state = 65}, + [6869] = {.lex_state = 65}, + [6870] = {.lex_state = 65}, + [6871] = {.lex_state = 65}, + [6872] = {.lex_state = 65}, + [6873] = {.lex_state = 0}, + [6874] = {.lex_state = 65}, + [6875] = {.lex_state = 65}, + [6876] = {.lex_state = 65}, + [6877] = {.lex_state = 65}, + [6878] = {.lex_state = 65}, + [6879] = {.lex_state = 65}, + [6880] = {.lex_state = 65}, + [6881] = {.lex_state = 65}, + [6882] = {.lex_state = 65}, + [6883] = {.lex_state = 65}, + [6884] = {.lex_state = 65}, + [6885] = {.lex_state = 65}, + [6886] = {.lex_state = 65}, + [6887] = {.lex_state = 65}, + [6888] = {.lex_state = 65}, + [6889] = {.lex_state = 65}, + [6890] = {.lex_state = 65}, + [6891] = {.lex_state = 65}, + [6892] = {.lex_state = 65}, + [6893] = {.lex_state = 65}, + [6894] = {.lex_state = 65}, + [6895] = {.lex_state = 65}, + [6896] = {.lex_state = 65}, + [6897] = {.lex_state = 0}, + [6898] = {.lex_state = 65}, + [6899] = {.lex_state = 65}, + [6900] = {.lex_state = 65}, + [6901] = {.lex_state = 65}, + [6902] = {.lex_state = 65}, + [6903] = {.lex_state = 65}, + [6904] = {.lex_state = 65}, + [6905] = {.lex_state = 65}, + [6906] = {.lex_state = 65}, + [6907] = {.lex_state = 65}, + [6908] = {.lex_state = 65}, + [6909] = {.lex_state = 65}, + [6910] = {.lex_state = 65}, + [6911] = {.lex_state = 65}, + [6912] = {.lex_state = 65}, + [6913] = {.lex_state = 65}, + [6914] = {.lex_state = 0}, + [6915] = {.lex_state = 65}, + [6916] = {.lex_state = 65}, + [6917] = {.lex_state = 65}, + [6918] = {.lex_state = 65}, + [6919] = {.lex_state = 65}, + [6920] = {.lex_state = 65}, + [6921] = {.lex_state = 65}, + [6922] = {.lex_state = 0}, + [6923] = {.lex_state = 65}, + [6924] = {.lex_state = 65}, + [6925] = {.lex_state = 65}, + [6926] = {.lex_state = 65}, + [6927] = {.lex_state = 0}, + [6928] = {.lex_state = 65}, + [6929] = {.lex_state = 65}, + [6930] = {.lex_state = 65}, + [6931] = {.lex_state = 65}, + [6932] = {.lex_state = 65}, + [6933] = {.lex_state = 65}, + [6934] = {.lex_state = 65}, + [6935] = {.lex_state = 65}, + [6936] = {.lex_state = 65}, + [6937] = {.lex_state = 65}, + [6938] = {.lex_state = 65}, + [6939] = {.lex_state = 65}, + [6940] = {.lex_state = 65}, + [6941] = {.lex_state = 65}, + [6942] = {.lex_state = 65}, + [6943] = {.lex_state = 65}, + [6944] = {.lex_state = 0}, + [6945] = {.lex_state = 0}, + [6946] = {.lex_state = 65}, + [6947] = {.lex_state = 65}, + [6948] = {.lex_state = 65}, + [6949] = {.lex_state = 65}, + [6950] = {.lex_state = 65}, + [6951] = {.lex_state = 65}, + [6952] = {.lex_state = 65}, + [6953] = {.lex_state = 65}, + [6954] = {.lex_state = 65}, + [6955] = {.lex_state = 65}, + [6956] = {.lex_state = 65}, + [6957] = {.lex_state = 65}, + [6958] = {.lex_state = 65}, + [6959] = {.lex_state = 65}, + [6960] = {.lex_state = 65}, + [6961] = {.lex_state = 65}, + [6962] = {.lex_state = 65}, + [6963] = {.lex_state = 65}, + [6964] = {.lex_state = 65}, + [6965] = {.lex_state = 65}, + [6966] = {.lex_state = 1}, + [6967] = {.lex_state = 65}, + [6968] = {.lex_state = 65}, + [6969] = {.lex_state = 65}, + [6970] = {.lex_state = 65}, + [6971] = {.lex_state = 65}, + [6972] = {.lex_state = 65}, + [6973] = {.lex_state = 65}, + [6974] = {.lex_state = 65}, + [6975] = {.lex_state = 65}, + [6976] = {.lex_state = 65}, + [6977] = {.lex_state = 65}, + [6978] = {.lex_state = 65}, + [6979] = {.lex_state = 65}, + [6980] = {.lex_state = 65}, + [6981] = {.lex_state = 65}, + [6982] = {.lex_state = 65}, + [6983] = {.lex_state = 65}, + [6984] = {.lex_state = 65}, + [6985] = {.lex_state = 65}, + [6986] = {.lex_state = 65}, + [6987] = {.lex_state = 65}, + [6988] = {.lex_state = 65}, + [6989] = {.lex_state = 65}, + [6990] = {.lex_state = 65}, + [6991] = {.lex_state = 0}, + [6992] = {.lex_state = 0}, + [6993] = {.lex_state = 0}, + [6994] = {.lex_state = 0}, + [6995] = {.lex_state = 0}, + [6996] = {.lex_state = 65}, + [6997] = {.lex_state = 0}, + [6998] = {.lex_state = 65}, + [6999] = {.lex_state = 65}, + [7000] = {.lex_state = 65}, + [7001] = {.lex_state = 65}, + [7002] = {.lex_state = 65}, + [7003] = {.lex_state = 65}, + [7004] = {.lex_state = 0}, + [7005] = {.lex_state = 65}, + [7006] = {.lex_state = 65}, + [7007] = {.lex_state = 65}, + [7008] = {.lex_state = 65}, + [7009] = {.lex_state = 65}, + [7010] = {.lex_state = 0}, + [7011] = {.lex_state = 65}, + [7012] = {.lex_state = 65}, + [7013] = {.lex_state = 65}, + [7014] = {.lex_state = 65}, + [7015] = {.lex_state = 65}, + [7016] = {.lex_state = 65}, + [7017] = {.lex_state = 65}, + [7018] = {.lex_state = 65}, + [7019] = {.lex_state = 65}, + [7020] = {.lex_state = 65}, + [7021] = {.lex_state = 65}, + [7022] = {.lex_state = 65}, + [7023] = {.lex_state = 65}, + [7024] = {.lex_state = 65}, + [7025] = {.lex_state = 65}, + [7026] = {.lex_state = 65}, + [7027] = {.lex_state = 65}, + [7028] = {.lex_state = 65}, + [7029] = {.lex_state = 65}, + [7030] = {.lex_state = 65}, + [7031] = {.lex_state = 65}, + [7032] = {.lex_state = 65}, + [7033] = {.lex_state = 65}, + [7034] = {.lex_state = 65}, + [7035] = {.lex_state = 0}, + [7036] = {.lex_state = 65}, + [7037] = {.lex_state = 65}, + [7038] = {.lex_state = 65}, + [7039] = {.lex_state = 65}, + [7040] = {.lex_state = 65}, + [7041] = {.lex_state = 0}, + [7042] = {.lex_state = 0}, + [7043] = {.lex_state = 65}, + [7044] = {.lex_state = 65}, + [7045] = {.lex_state = 65}, + [7046] = {.lex_state = 65}, + [7047] = {.lex_state = 0}, + [7048] = {.lex_state = 65}, + [7049] = {.lex_state = 65}, + [7050] = {.lex_state = 65}, + [7051] = {.lex_state = 65}, + [7052] = {.lex_state = 0}, + [7053] = {.lex_state = 65}, + [7054] = {.lex_state = 65}, + [7055] = {.lex_state = 65}, + [7056] = {.lex_state = 65}, + [7057] = {.lex_state = 65}, + [7058] = {.lex_state = 65}, + [7059] = {.lex_state = 65}, + [7060] = {.lex_state = 65}, + [7061] = {.lex_state = 65}, + [7062] = {.lex_state = 65}, + [7063] = {.lex_state = 65}, + [7064] = {.lex_state = 65}, + [7065] = {.lex_state = 65}, + [7066] = {.lex_state = 65}, + [7067] = {.lex_state = 65}, + [7068] = {.lex_state = 65}, + [7069] = {.lex_state = 65}, + [7070] = {.lex_state = 65}, + [7071] = {.lex_state = 65}, + [7072] = {.lex_state = 65}, + [7073] = {.lex_state = 65}, + [7074] = {.lex_state = 65}, + [7075] = {.lex_state = 65}, + [7076] = {.lex_state = 65}, + [7077] = {.lex_state = 65}, + [7078] = {.lex_state = 65}, + [7079] = {.lex_state = 65}, + [7080] = {.lex_state = 65}, + [7081] = {.lex_state = 65}, + [7082] = {.lex_state = 0}, + [7083] = {.lex_state = 0}, + [7084] = {.lex_state = 65}, + [7085] = {.lex_state = 65}, + [7086] = {.lex_state = 65}, + [7087] = {.lex_state = 65}, + [7088] = {.lex_state = 0}, + [7089] = {.lex_state = 65}, + [7090] = {.lex_state = 65}, + [7091] = {.lex_state = 65}, + [7092] = {.lex_state = 65}, + [7093] = {.lex_state = 65}, + [7094] = {.lex_state = 65}, + [7095] = {.lex_state = 65}, + [7096] = {.lex_state = 65}, + [7097] = {.lex_state = 65}, + [7098] = {.lex_state = 65}, + [7099] = {.lex_state = 65}, + [7100] = {.lex_state = 65}, + [7101] = {.lex_state = 65}, + [7102] = {.lex_state = 65}, + [7103] = {.lex_state = 65}, + [7104] = {.lex_state = 65}, + [7105] = {.lex_state = 65}, + [7106] = {.lex_state = 65}, + [7107] = {.lex_state = 65}, + [7108] = {.lex_state = 65}, + [7109] = {.lex_state = 65}, + [7110] = {.lex_state = 65}, + [7111] = {.lex_state = 65}, + [7112] = {.lex_state = 65}, + [7113] = {.lex_state = 65}, + [7114] = {.lex_state = 65}, + [7115] = {.lex_state = 65}, + [7116] = {.lex_state = 65}, + [7117] = {.lex_state = 65}, + [7118] = {.lex_state = 0}, + [7119] = {.lex_state = 0}, + [7120] = {.lex_state = 65}, + [7121] = {.lex_state = 65}, + [7122] = {.lex_state = 65}, + [7123] = {.lex_state = 0}, + [7124] = {.lex_state = 0}, + [7125] = {.lex_state = 65}, + [7126] = {.lex_state = 0}, + [7127] = {.lex_state = 65}, + [7128] = {.lex_state = 65}, + [7129] = {.lex_state = 65}, + [7130] = {.lex_state = 0}, + [7131] = {.lex_state = 0}, + [7132] = {.lex_state = 65}, + [7133] = {.lex_state = 65}, + [7134] = {.lex_state = 65}, + [7135] = {.lex_state = 0}, + [7136] = {.lex_state = 65}, + [7137] = {.lex_state = 65}, + [7138] = {.lex_state = 65}, + [7139] = {.lex_state = 0}, + [7140] = {.lex_state = 65}, + [7141] = {.lex_state = 65}, + [7142] = {.lex_state = 65}, + [7143] = {.lex_state = 65}, + [7144] = {.lex_state = 65}, + [7145] = {.lex_state = 65}, + [7146] = {.lex_state = 65}, + [7147] = {.lex_state = 65}, + [7148] = {.lex_state = 65}, + [7149] = {.lex_state = 65}, + [7150] = {.lex_state = 65}, + [7151] = {.lex_state = 65}, + [7152] = {.lex_state = 65}, + [7153] = {.lex_state = 65}, + [7154] = {.lex_state = 65}, + [7155] = {.lex_state = 65}, + [7156] = {.lex_state = 65}, + [7157] = {.lex_state = 65}, + [7158] = {.lex_state = 65}, + [7159] = {.lex_state = 65}, + [7160] = {.lex_state = 65}, + [7161] = {.lex_state = 65}, + [7162] = {.lex_state = 65}, + [7163] = {.lex_state = 65}, + [7164] = {.lex_state = 0}, + [7165] = {.lex_state = 65}, + [7166] = {.lex_state = 65}, + [7167] = {.lex_state = 65}, + [7168] = {.lex_state = 65}, + [7169] = {.lex_state = 65}, + [7170] = {.lex_state = 65}, + [7171] = {.lex_state = 0}, + [7172] = {.lex_state = 65}, + [7173] = {.lex_state = 65}, + [7174] = {.lex_state = 65}, + [7175] = {.lex_state = 0}, + [7176] = {.lex_state = 65}, + [7177] = {.lex_state = 65}, + [7178] = {.lex_state = 65}, + [7179] = {.lex_state = 65}, + [7180] = {.lex_state = 65}, + [7181] = {.lex_state = 0}, + [7182] = {.lex_state = 0}, + [7183] = {.lex_state = 65}, + [7184] = {.lex_state = 65}, + [7185] = {.lex_state = 0}, + [7186] = {.lex_state = 0}, + [7187] = {.lex_state = 65}, + [7188] = {.lex_state = 65}, + [7189] = {.lex_state = 65}, + [7190] = {.lex_state = 65}, + [7191] = {.lex_state = 65}, + [7192] = {.lex_state = 0}, + [7193] = {.lex_state = 65}, + [7194] = {.lex_state = 65}, + [7195] = {.lex_state = 0}, + [7196] = {.lex_state = 65}, + [7197] = {.lex_state = 0}, + [7198] = {.lex_state = 0}, + [7199] = {.lex_state = 65}, + [7200] = {.lex_state = 65}, + [7201] = {.lex_state = 65}, + [7202] = {.lex_state = 65}, + [7203] = {.lex_state = 65}, + [7204] = {.lex_state = 65}, + [7205] = {.lex_state = 65}, + [7206] = {.lex_state = 0}, + [7207] = {.lex_state = 65}, + [7208] = {.lex_state = 0}, + [7209] = {.lex_state = 65}, + [7210] = {.lex_state = 65}, + [7211] = {.lex_state = 65}, + [7212] = {.lex_state = 65}, + [7213] = {.lex_state = 65}, + [7214] = {.lex_state = 65}, + [7215] = {.lex_state = 65}, + [7216] = {.lex_state = 65}, + [7217] = {.lex_state = 65}, + [7218] = {.lex_state = 65}, + [7219] = {.lex_state = 65}, + [7220] = {.lex_state = 65}, + [7221] = {.lex_state = 0}, + [7222] = {.lex_state = 65}, + [7223] = {.lex_state = 65}, + [7224] = {.lex_state = 65}, + [7225] = {.lex_state = 65}, + [7226] = {.lex_state = 65}, + [7227] = {.lex_state = 65}, + [7228] = {.lex_state = 65}, + [7229] = {.lex_state = 65}, + [7230] = {.lex_state = 65}, + [7231] = {.lex_state = 65}, + [7232] = {.lex_state = 65}, + [7233] = {.lex_state = 65}, + [7234] = {.lex_state = 65}, + [7235] = {.lex_state = 65}, + [7236] = {.lex_state = 65}, + [7237] = {.lex_state = 65}, + [7238] = {.lex_state = 65}, + [7239] = {.lex_state = 65}, + [7240] = {.lex_state = 65}, + [7241] = {.lex_state = 65}, + [7242] = {.lex_state = 65}, + [7243] = {.lex_state = 65}, + [7244] = {.lex_state = 65}, + [7245] = {.lex_state = 65}, + [7246] = {.lex_state = 0}, + [7247] = {.lex_state = 0}, + [7248] = {.lex_state = 65}, + [7249] = {.lex_state = 65}, + [7250] = {.lex_state = 65}, + [7251] = {.lex_state = 65}, + [7252] = {.lex_state = 0}, + [7253] = {.lex_state = 65}, + [7254] = {.lex_state = 65}, + [7255] = {.lex_state = 65}, + [7256] = {.lex_state = 0}, + [7257] = {.lex_state = 65}, + [7258] = {.lex_state = 65}, + [7259] = {.lex_state = 65}, + [7260] = {.lex_state = 65}, + [7261] = {.lex_state = 0}, + [7262] = {.lex_state = 65}, + [7263] = {.lex_state = 65}, + [7264] = {.lex_state = 65}, + [7265] = {.lex_state = 65}, + [7266] = {.lex_state = 65}, + [7267] = {.lex_state = 65}, + [7268] = {.lex_state = 65}, + [7269] = {.lex_state = 65}, + [7270] = {.lex_state = 65}, + [7271] = {.lex_state = 65}, + [7272] = {.lex_state = 65}, + [7273] = {.lex_state = 65}, + [7274] = {.lex_state = 0}, + [7275] = {.lex_state = 0}, + [7276] = {.lex_state = 65}, + [7277] = {.lex_state = 65}, + [7278] = {.lex_state = 0}, + [7279] = {.lex_state = 0}, + [7280] = {.lex_state = 0}, + [7281] = {.lex_state = 65}, + [7282] = {.lex_state = 65}, + [7283] = {.lex_state = 65}, + [7284] = {.lex_state = 65}, + [7285] = {.lex_state = 65}, + [7286] = {.lex_state = 65}, + [7287] = {.lex_state = 65}, + [7288] = {.lex_state = 65}, + [7289] = {.lex_state = 65}, + [7290] = {.lex_state = 65}, + [7291] = {.lex_state = 65}, + [7292] = {.lex_state = 65}, + [7293] = {.lex_state = 0}, + [7294] = {.lex_state = 0}, + [7295] = {.lex_state = 65}, + [7296] = {.lex_state = 0}, + [7297] = {.lex_state = 65}, + [7298] = {.lex_state = 65}, + [7299] = {.lex_state = 65}, + [7300] = {.lex_state = 65}, + [7301] = {.lex_state = 65}, + [7302] = {.lex_state = 0}, + [7303] = {.lex_state = 65}, + [7304] = {.lex_state = 65}, + [7305] = {.lex_state = 65}, + [7306] = {.lex_state = 65}, + [7307] = {.lex_state = 0}, + [7308] = {.lex_state = 65}, + [7309] = {.lex_state = 65}, + [7310] = {.lex_state = 0}, + [7311] = {.lex_state = 65}, + [7312] = {.lex_state = 65}, + [7313] = {.lex_state = 0}, + [7314] = {.lex_state = 0}, + [7315] = {.lex_state = 65}, + [7316] = {.lex_state = 65}, + [7317] = {.lex_state = 0}, + [7318] = {.lex_state = 0}, + [7319] = {.lex_state = 65}, + [7320] = {.lex_state = 65}, + [7321] = {.lex_state = 65}, + [7322] = {.lex_state = 65}, + [7323] = {.lex_state = 65}, + [7324] = {.lex_state = 65}, + [7325] = {.lex_state = 65}, + [7326] = {.lex_state = 65}, + [7327] = {.lex_state = 65}, + [7328] = {.lex_state = 65}, + [7329] = {.lex_state = 65}, + [7330] = {.lex_state = 65}, + [7331] = {.lex_state = 65}, + [7332] = {.lex_state = 65}, + [7333] = {.lex_state = 65}, + [7334] = {.lex_state = 65}, + [7335] = {.lex_state = 0}, + [7336] = {.lex_state = 65}, + [7337] = {.lex_state = 65}, + [7338] = {.lex_state = 0}, + [7339] = {.lex_state = 65}, + [7340] = {.lex_state = 65}, + [7341] = {.lex_state = 65}, +}; + +static const uint16_t ts_parse_table[LARGE_STATE_COUNT][SYMBOL_COUNT] = { + [0] = { + [ts_builtin_sym_end] = ACTIONS(1), + [sym_basic_identifier] = ACTIONS(1), + [aux_sym_entity_declaration_token1] = ACTIONS(1), + [aux_sym_entity_declaration_token2] = ACTIONS(1), + [aux_sym_entity_declaration_token3] = ACTIONS(1), + [aux_sym_entity_declaration_token4] = ACTIONS(1), + [anon_sym_SEMI] = ACTIONS(1), + [aux_sym_architecture_body_token1] = ACTIONS(1), + [aux_sym_architecture_body_token2] = ACTIONS(1), + [aux_sym_configuration_declaration_token1] = ACTIONS(1), + [aux_sym_block_configuration_token1] = ACTIONS(1), + [anon_sym_LPAREN] = ACTIONS(1), + [anon_sym_RPAREN] = ACTIONS(1), + [aux_sym__procedure_specification_token1] = ACTIONS(1), + [aux_sym__procedure_specification_token2] = ACTIONS(1), + [aux_sym__procedure_specification_token3] = ACTIONS(1), + [aux_sym__function_specification_token1] = ACTIONS(1), + [anon_sym_COMMA] = ACTIONS(1), + [aux_sym_return_token1] = ACTIONS(1), + [aux_sym_procedure_parameter_clause_token1] = ACTIONS(1), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1), + [anon_sym_LBRACK] = ACTIONS(1), + [anon_sym_RBRACK] = ACTIONS(1), + [aux_sym_package_declaration_token1] = ACTIONS(1), + [aux_sym_package_body_token1] = ACTIONS(1), + [aux_sym_range_constraint_token1] = ACTIONS(1), + [aux_sym_ascending_range_token1] = ACTIONS(1), + [aux_sym_descending_range_token1] = ACTIONS(1), + [aux_sym_physical_type_definition_token1] = ACTIONS(1), + [anon_sym_EQ] = ACTIONS(1), + [aux_sym_unbounded_array_definition_token1] = ACTIONS(1), + [aux_sym_open_token1] = ACTIONS(1), + [aux_sym_record_type_definition_token1] = ACTIONS(1), + [anon_sym_COLON] = ACTIONS(1), + [aux_sym_access_type_definition_token1] = ACTIONS(1), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(1), + [aux_sym_file_type_definition_token1] = ACTIONS(1), + [aux_sym_protected_type_declaration_token1] = ACTIONS(1), + [aux_sym_subtype_declaration_token1] = ACTIONS(1), + [aux_sym_constant_declaration_token1] = ACTIONS(1), + [aux_sym_signal_declaration_token1] = ACTIONS(1), + [aux_sym_signal_kind_token1] = ACTIONS(1), + [aux_sym_signal_kind_token2] = ACTIONS(1), + [aux_sym_variable_declaration_token1] = ACTIONS(1), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(1), + [aux_sym__in_token1] = ACTIONS(1), + [aux_sym__out_token1] = ACTIONS(1), + [aux_sym__inout_token1] = ACTIONS(1), + [aux_sym__buffer_token1] = ACTIONS(1), + [aux_sym__linkage_token1] = ACTIONS(1), + [anon_sym_LT_GT] = ACTIONS(1), + [aux_sym_generic_clause_token1] = ACTIONS(1), + [aux_sym_port_clause_token1] = ACTIONS(1), + [anon_sym_EQ_GT] = ACTIONS(1), + [aux_sym_generic_map_aspect_token1] = ACTIONS(1), + [aux_sym_default_token1] = ACTIONS(1), + [aux_sym_alias_declaration_token1] = ACTIONS(1), + [aux_sym_attribute_declaration_token1] = ACTIONS(1), + [aux_sym_component_declaration_token1] = ACTIONS(1), + [aux_sym_group_template_declaration_token1] = ACTIONS(1), + [aux_sym_entity_class_token1] = ACTIONS(1), + [aux_sym_entity_class_token2] = ACTIONS(1), + [aux_sym_entity_class_token3] = ACTIONS(1), + [aux_sym_entity_class_token4] = ACTIONS(1), + [aux_sym_all_token1] = ACTIONS(1), + [aux_sym_binding_indication_token1] = ACTIONS(1), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(1), + [aux_sym_disconnection_specification_token1] = ACTIONS(1), + [anon_sym_DOT] = ACTIONS(1), + [anon_sym_SQUOTE] = ACTIONS(1), + [aux_sym__predefined_designator_token1] = ACTIONS(1), + [aux_sym__predefined_designator_token2] = ACTIONS(1), + [aux_sym__predefined_designator_token3] = ACTIONS(1), + [aux_sym__predefined_designator_token4] = ACTIONS(1), + [aux_sym__predefined_designator_token5] = ACTIONS(1), + [aux_sym__predefined_designator_token6] = ACTIONS(1), + [aux_sym__predefined_designator_token7] = ACTIONS(1), + [aux_sym__predefined_designator_token8] = ACTIONS(1), + [aux_sym__predefined_designator_token9] = ACTIONS(1), + [aux_sym__predefined_designator_token10] = ACTIONS(1), + [aux_sym__predefined_designator_token11] = ACTIONS(1), + [aux_sym__predefined_designator_token12] = ACTIONS(1), + [aux_sym__predefined_designator_token13] = ACTIONS(1), + [aux_sym__predefined_designator_token14] = ACTIONS(1), + [aux_sym__predefined_designator_token15] = ACTIONS(1), + [aux_sym__predefined_designator_token16] = ACTIONS(1), + [aux_sym__predefined_designator_token17] = ACTIONS(1), + [aux_sym__predefined_designator_token18] = ACTIONS(1), + [aux_sym__predefined_designator_token19] = ACTIONS(1), + [aux_sym__predefined_designator_token20] = ACTIONS(1), + [aux_sym__predefined_designator_token21] = ACTIONS(1), + [aux_sym__predefined_designator_token22] = ACTIONS(1), + [aux_sym__predefined_designator_token23] = ACTIONS(1), + [aux_sym__predefined_designator_token24] = ACTIONS(1), + [aux_sym__predefined_designator_token25] = ACTIONS(1), + [aux_sym__predefined_designator_token26] = ACTIONS(1), + [aux_sym__predefined_designator_token27] = ACTIONS(1), + [aux_sym__predefined_designator_token28] = ACTIONS(1), + [aux_sym__predefined_designator_token29] = ACTIONS(1), + [aux_sym__predefined_designator_token30] = ACTIONS(1), + [aux_sym__predefined_designator_with_expression_token1] = ACTIONS(1), + [aux_sym__range_designator_token1] = ACTIONS(1), + [anon_sym_LT_LT] = ACTIONS(1), + [anon_sym_GT_GT] = ACTIONS(1), + [anon_sym_AT] = ACTIONS(1), + [anon_sym_DOT2] = ACTIONS(1), + [anon_sym_CARET_DOT] = ACTIONS(1), + [anon_sym_COLON_EQ] = ACTIONS(1), + [aux_sym_inertial_expression_token1] = ACTIONS(1), + [anon_sym_QMARK_QMARK] = ACTIONS(1), + [aux_sym_reduction_token1] = ACTIONS(1), + [aux_sym_reduction_token2] = ACTIONS(1), + [aux_sym_reduction_token3] = ACTIONS(1), + [aux_sym_reduction_token4] = ACTIONS(1), + [aux_sym_reduction_token5] = ACTIONS(1), + [aux_sym_reduction_token6] = ACTIONS(1), + [aux_sym_factor_token1] = ACTIONS(1), + [aux_sym_factor_token2] = ACTIONS(1), + [anon_sym_PLUS] = ACTIONS(1), + [anon_sym_DASH] = ACTIONS(1), + [anon_sym_LT] = ACTIONS(1), + [anon_sym_GT] = ACTIONS(1), + [anon_sym_EQ2] = ACTIONS(1), + [anon_sym_LT_EQ] = ACTIONS(1), + [anon_sym_GT_EQ] = ACTIONS(1), + [anon_sym_SLASH_EQ] = ACTIONS(1), + [anon_sym_QMARK_GT] = ACTIONS(1), + [anon_sym_QMARK_EQ] = ACTIONS(1), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1), + [aux_sym_shift_expression_token1] = ACTIONS(1), + [aux_sym_shift_expression_token2] = ACTIONS(1), + [aux_sym_shift_expression_token3] = ACTIONS(1), + [aux_sym_shift_expression_token4] = ACTIONS(1), + [aux_sym_shift_expression_token5] = ACTIONS(1), + [aux_sym_shift_expression_token6] = ACTIONS(1), + [anon_sym_AMP] = ACTIONS(1), + [anon_sym_STAR] = ACTIONS(1), + [anon_sym_SLASH] = ACTIONS(1), + [aux_sym_term_token1] = ACTIONS(1), + [aux_sym_term_token2] = ACTIONS(1), + [anon_sym_STAR_STAR] = ACTIONS(1), + [aux_sym_null_token1] = ACTIONS(1), + [anon_sym_PIPE] = ACTIONS(1), + [aux_sym_others_token1] = ACTIONS(1), + [aux_sym_wait_statement_token1] = ACTIONS(1), + [aux_sym__sensitivity_clause_token1] = ACTIONS(1), + [aux_sym__condition_clause_token1] = ACTIONS(1), + [aux_sym_assertion_statement_token1] = ACTIONS(1), + [aux_sym_assertion_statement_token2] = ACTIONS(1), + [aux_sym__report_token1] = ACTIONS(1), + [aux_sym__severity_token1] = ACTIONS(1), + [anon_sym_LT_EQ2] = ACTIONS(1), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1), + [aux_sym_simple_release_assignment_token1] = ACTIONS(1), + [aux_sym_transport_token1] = ACTIONS(1), + [aux_sym__reject_token1] = ACTIONS(1), + [aux_sym_unaffected_token1] = ACTIONS(1), + [aux_sym__after_token1] = ACTIONS(1), + [aux_sym__when_clause_token1] = ACTIONS(1), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(1), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1), + [anon_sym_QMARK] = ACTIONS(1), + [aux_sym_if_statement_token1] = ACTIONS(1), + [aux_sym_if_token1] = ACTIONS(1), + [aux_sym_elsif_token1] = ACTIONS(1), + [aux_sym_case_statement_token1] = ACTIONS(1), + [aux_sym_loop_statement_token1] = ACTIONS(1), + [aux_sym_while_loop_token1] = ACTIONS(1), + [aux_sym_next_statement_token1] = ACTIONS(1), + [aux_sym_exit_statement_token1] = ACTIONS(1), + [aux_sym_block_statement_token1] = ACTIONS(1), + [aux_sym_process_statement_token1] = ACTIONS(1), + [aux_sym_for_generate_statement_token1] = ACTIONS(1), + [aux_sym_library_clause_token1] = ACTIONS(1), + [aux_sym_context_declaration_token1] = ACTIONS(1), + [sym_extended_identifier] = ACTIONS(1), + [sym_integer_decimal] = ACTIONS(1), + [sym_real_decimal] = ACTIONS(1), + [sym_based_integer] = ACTIONS(1), + [sym_based_real] = ACTIONS(1), + [anon_sym_DQUOTE] = ACTIONS(1), + [aux_sym_bit_string_literal_token1] = ACTIONS(1), + [aux_sym_bit_string_literal_token2] = ACTIONS(1), + [aux_sym_bit_string_literal_token3] = ACTIONS(1), + [aux_sym_bit_string_literal_token4] = ACTIONS(1), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_DASH_GT] = ACTIONS(1), + [anon_sym_LT_DASH_GT] = ACTIONS(1), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(1), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(1), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(1), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(1), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(1), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(1), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(1), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(1), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(1), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(1), + [aux_sym_PSL_Union_Expression_token1] = ACTIONS(1), + [aux_sym_PSL_Clock_Declaration_token1] = ACTIONS(1), + [anon_sym_COLON2] = ACTIONS(1), + [anon_sym_SEMI2] = ACTIONS(1), + [anon_sym_PIPE2] = ACTIONS(1), + [anon_sym_AMP_AMP] = ACTIONS(1), + [aux_sym_PSL_Compound_SERE_Within_token1] = ACTIONS(1), + [anon_sym_LBRACE] = ACTIONS(1), + [anon_sym_RBRACE] = ACTIONS(1), + [anon_sym_PLUS2] = ACTIONS(1), + [anon_sym_STAR2] = ACTIONS(1), + [anon_sym_EQ3] = ACTIONS(1), + [anon_sym_DASH_GT2] = ACTIONS(1), + [anon_sym_BANG] = ACTIONS(1), + [anon_sym_AT2] = ACTIONS(1), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(1), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(1), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(1), + [anon_sym_BANG2] = ACTIONS(1), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(1), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(1), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(1), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(1), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(1), + [aux_sym_PSL_Termination_FL_Property_token1] = ACTIONS(1), + [aux_sym_PSL_Termination_FL_Property_token2] = ACTIONS(1), + [aux_sym_PSL_Termination_FL_Property_token3] = ACTIONS(1), + [aux_sym_PSL_Bounding_FL_Property_token1] = ACTIONS(1), + [anon_sym__] = ACTIONS(1), + [anon_sym_PIPE_EQ_GT] = ACTIONS(1), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(1), + [aux_sym_boolean_token1] = ACTIONS(1), + [aux_sym_PSL_Constant_Parameter_Specification_token1] = ACTIONS(1), + [aux_sym_PSL_HDL_Type_token1] = ACTIONS(1), + [aux_sym_PSL_Type_Class_token1] = ACTIONS(1), + [aux_sym_PSL_Type_Class_token2] = ACTIONS(1), + [aux_sym_PSL_Type_Class_token3] = ACTIONS(1), + [aux_sym_PSL_Type_Class_token4] = ACTIONS(1), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(1), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(1), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(1), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(1), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(1), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(1), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(1), + [aux_sym_PSL_VProp_token1] = ACTIONS(1), + [aux_sym_PSL_VMode_token1] = ACTIONS(1), + [anon_sym_SLASH2] = ACTIONS(1), + [aux_sym_PSL_Inherit_Spec_token1] = ACTIONS(1), + }, + [1] = { + [sym_design_file] = STATE(7313), + [sym_entity_declaration] = STATE(1714), + [sym_architecture_body] = STATE(1714), + [sym_configuration_declaration] = STATE(1714), + [sym_procedure_declaration] = STATE(3), + [sym_function_declaration] = STATE(3), + [sym__procedure_specification] = STATE(5899), + [sym__function_specification] = STATE(5897), + [sym_procedure_body] = STATE(3), + [sym_function_body] = STATE(3), + [sym_procedure_instantiation_declaration] = STATE(3), + [sym_function_instantiation_declaration] = STATE(3), + [sym_package_declaration] = STATE(3), + [sym_package_body] = STATE(3), + [sym_package_instantiation_declaration] = STATE(3), + [sym_open] = STATE(4576), + [sym_incomplete_type_declaration] = STATE(3), + [sym__declaration] = STATE(3), + [sym__type_declaration] = STATE(3), + [sym_full_type_declaration] = STATE(3), + [sym_subtype_declaration] = STATE(3), + [sym_constant_declaration] = STATE(3), + [sym_signal_declaration] = STATE(3), + [sym_variable_declaration] = STATE(3), + [sym_shared_variable_declaration] = STATE(3), + [sym_file_declaration] = STATE(3), + [sym_alias_declaration] = STATE(3), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(3), + [sym_component_declaration] = STATE(3), + [sym_group_template_declaration] = STATE(3), + [sym_group_declaration] = STATE(3), + [sym_attribute_specification] = STATE(3), + [sym_configuration_specification] = STATE(3), + [sym__entity_aspect] = STATE(4576), + [sym_disconnection_specification] = STATE(3), + [sym__simple_name] = STATE(4222), + [sym_selected_name] = STATE(4222), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym__sequential_statement] = STATE(3), + [sym_wait_statement] = STATE(3), + [sym_assertion_statement] = STATE(3), + [sym_report_statement] = STATE(3), + [sym__report] = STATE(5383), + [sym_simple_waveform_assignment] = STATE(1685), + [sym_simple_force_assignment] = STATE(3), + [sym_simple_release_assignment] = STATE(3), + [sym__target] = STATE(5895), + [sym_conditional_waveform_assignment] = STATE(1699), + [sym_conditional_force_assignment] = STATE(3), + [sym_selected_waveform_assignment] = STATE(1700), + [sym_selected_force_assignment] = STATE(3), + [sym_simple_variable_assignment] = STATE(3), + [sym_conditional_variable_assignment] = STATE(3), + [sym_selected_variable_assignment] = STATE(3), + [sym_procedure_call_statement] = STATE(3), + [sym_if_statement] = STATE(3), + [sym_if] = STATE(4755), + [sym_case_statement] = STATE(3), + [sym_loop_statement] = STATE(3), + [sym_while_loop] = STATE(7306), + [sym_for_loop] = STATE(7306), + [sym_next_statement] = STATE(3), + [sym_exit_statement] = STATE(3), + [sym_return_statement] = STATE(3), + [sym_null_statement] = STATE(3), + [sym__concurrent_statement] = STATE(3), + [sym_block_statement] = STATE(3), + [sym_process_statement] = STATE(3), + [sym_component_instantiation_statement] = STATE(3), + [sym_entity_instantiation] = STATE(4576), + [sym_configuration_instantiation] = STATE(4576), + [sym_component_instantiation] = STATE(4576), + [sym_for_generate_statement] = STATE(3), + [sym_if_generate_statement] = STATE(3), + [sym_if_generate] = STATE(4752), + [sym_case_generate_statement] = STATE(3), + [sym_label] = STATE(1889), + [sym_use_clause] = STATE(3), + [sym_design_unit] = STATE(3), + [sym__primary_unit] = STATE(1714), + [sym__secondary_unit] = STATE(1714), + [sym_library_clause] = STATE(537), + [sym_context_declaration] = STATE(1714), + [sym_context_clause] = STATE(450), + [sym__context_item] = STATE(537), + [sym_context_reference] = STATE(537), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(3), + [sym_PSL_Clock_Declaration] = STATE(3), + [sym_PSL_Property_Declaration] = STATE(3), + [sym_PSL_Sequence_Declaration] = STATE(3), + [sym__PSL_Directive] = STATE(3), + [sym_PSL_Assert_Directive] = STATE(3), + [sym_PSL_Assume_Directive] = STATE(3), + [sym_PSL_Assume_Guarantee_Directive] = STATE(3), + [sym_PSL_Restrict_Directive] = STATE(3), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(3), + [sym_PSL_Cover_Directive] = STATE(3), + [sym_PSL_Fairness_Directive] = STATE(3), + [sym_PSL_Strong_Fairness_Directive] = STATE(3), + [sym__PSL_Verification_Unit] = STATE(1714), + [sym_PSL_VUnit] = STATE(1714), + [sym_PSL_VProp] = STATE(1714), + [sym_PSL_VMode] = STATE(1714), + [aux_sym_design_file_repeat1] = STATE(3), + [aux_sym_context_clause_repeat1] = STATE(537), + [ts_builtin_sym_end] = ACTIONS(5), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(9), + [aux_sym_architecture_body_token1] = ACTIONS(11), + [aux_sym_configuration_declaration_token1] = ACTIONS(13), + [aux_sym_block_configuration_token1] = ACTIONS(15), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(19), + [aux_sym__procedure_specification_token2] = ACTIONS(19), + [aux_sym__procedure_specification_token3] = ACTIONS(21), + [aux_sym__function_specification_token1] = ACTIONS(23), + [aux_sym_return_token1] = ACTIONS(25), + [aux_sym_package_declaration_token1] = ACTIONS(27), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(31), + [aux_sym_file_type_definition_token1] = ACTIONS(33), + [aux_sym_subtype_declaration_token1] = ACTIONS(35), + [aux_sym_constant_declaration_token1] = ACTIONS(37), + [aux_sym_signal_declaration_token1] = ACTIONS(39), + [aux_sym_variable_declaration_token1] = ACTIONS(41), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(43), + [aux_sym_default_token1] = ACTIONS(45), + [aux_sym_alias_declaration_token1] = ACTIONS(47), + [aux_sym_attribute_declaration_token1] = ACTIONS(49), + [aux_sym_component_declaration_token1] = ACTIONS(51), + [aux_sym_group_template_declaration_token1] = ACTIONS(53), + [aux_sym_entity_class_token3] = ACTIONS(55), + [aux_sym_entity_class_token4] = ACTIONS(57), + [aux_sym_binding_indication_token1] = ACTIONS(59), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(61), + [aux_sym_disconnection_specification_token1] = ACTIONS(63), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(67), + [aux_sym_wait_statement_token1] = ACTIONS(69), + [aux_sym_assertion_statement_token1] = ACTIONS(71), + [aux_sym_assertion_statement_token2] = ACTIONS(73), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(77), + [aux_sym_if_statement_token1] = ACTIONS(79), + [aux_sym_case_statement_token1] = ACTIONS(81), + [aux_sym_loop_statement_token1] = ACTIONS(83), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(87), + [aux_sym_exit_statement_token1] = ACTIONS(89), + [aux_sym_block_statement_token1] = ACTIONS(91), + [aux_sym_process_statement_token1] = ACTIONS(93), + [aux_sym_library_clause_token1] = ACTIONS(95), + [aux_sym_context_declaration_token1] = ACTIONS(97), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(109), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(111), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(113), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(115), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(117), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(119), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(121), + [aux_sym_PSL_VProp_token1] = ACTIONS(123), + [aux_sym_PSL_VMode_token1] = ACTIONS(125), + }, + [2] = { + [sym_entity_declaration] = STATE(1714), + [sym_architecture_body] = STATE(1714), + [sym_configuration_declaration] = STATE(1714), + [sym_procedure_declaration] = STATE(2), + [sym_function_declaration] = STATE(2), + [sym__procedure_specification] = STATE(5899), + [sym__function_specification] = STATE(5897), + [sym_procedure_body] = STATE(2), + [sym_function_body] = STATE(2), + [sym_procedure_instantiation_declaration] = STATE(2), + [sym_function_instantiation_declaration] = STATE(2), + [sym_package_declaration] = STATE(2), + [sym_package_body] = STATE(2), + [sym_package_instantiation_declaration] = STATE(2), + [sym_open] = STATE(4576), + [sym_incomplete_type_declaration] = STATE(2), + [sym__declaration] = STATE(2), + [sym__type_declaration] = STATE(2), + [sym_full_type_declaration] = STATE(2), + [sym_subtype_declaration] = STATE(2), + [sym_constant_declaration] = STATE(2), + [sym_signal_declaration] = STATE(2), + [sym_variable_declaration] = STATE(2), + [sym_shared_variable_declaration] = STATE(2), + [sym_file_declaration] = STATE(2), + [sym_alias_declaration] = STATE(2), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(2), + [sym_component_declaration] = STATE(2), + [sym_group_template_declaration] = STATE(2), + [sym_group_declaration] = STATE(2), + [sym_attribute_specification] = STATE(2), + [sym_configuration_specification] = STATE(2), + [sym__entity_aspect] = STATE(4576), + [sym_disconnection_specification] = STATE(2), + [sym__simple_name] = STATE(4222), + [sym_selected_name] = STATE(4222), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym__sequential_statement] = STATE(2), + [sym_wait_statement] = STATE(2), + [sym_assertion_statement] = STATE(2), + [sym_report_statement] = STATE(2), + [sym__report] = STATE(5383), + [sym_simple_waveform_assignment] = STATE(1685), + [sym_simple_force_assignment] = STATE(2), + [sym_simple_release_assignment] = STATE(2), + [sym__target] = STATE(5895), + [sym_conditional_waveform_assignment] = STATE(1699), + [sym_conditional_force_assignment] = STATE(2), + [sym_selected_waveform_assignment] = STATE(1700), + [sym_selected_force_assignment] = STATE(2), + [sym_simple_variable_assignment] = STATE(2), + [sym_conditional_variable_assignment] = STATE(2), + [sym_selected_variable_assignment] = STATE(2), + [sym_procedure_call_statement] = STATE(2), + [sym_if_statement] = STATE(2), + [sym_if] = STATE(4755), + [sym_case_statement] = STATE(2), + [sym_loop_statement] = STATE(2), + [sym_while_loop] = STATE(7306), + [sym_for_loop] = STATE(7306), + [sym_next_statement] = STATE(2), + [sym_exit_statement] = STATE(2), + [sym_return_statement] = STATE(2), + [sym_null_statement] = STATE(2), + [sym__concurrent_statement] = STATE(2), + [sym_block_statement] = STATE(2), + [sym_process_statement] = STATE(2), + [sym_component_instantiation_statement] = STATE(2), + [sym_entity_instantiation] = STATE(4576), + [sym_configuration_instantiation] = STATE(4576), + [sym_component_instantiation] = STATE(4576), + [sym_for_generate_statement] = STATE(2), + [sym_if_generate_statement] = STATE(2), + [sym_if_generate] = STATE(4752), + [sym_case_generate_statement] = STATE(2), + [sym_label] = STATE(1889), + [sym_use_clause] = STATE(2), + [sym_design_unit] = STATE(2), + [sym__primary_unit] = STATE(1714), + [sym__secondary_unit] = STATE(1714), + [sym_library_clause] = STATE(537), + [sym_context_declaration] = STATE(1714), + [sym_context_clause] = STATE(450), + [sym__context_item] = STATE(537), + [sym_context_reference] = STATE(537), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2), + [sym_PSL_Clock_Declaration] = STATE(2), + [sym_PSL_Property_Declaration] = STATE(2), + [sym_PSL_Sequence_Declaration] = STATE(2), + [sym__PSL_Directive] = STATE(2), + [sym_PSL_Assert_Directive] = STATE(2), + [sym_PSL_Assume_Directive] = STATE(2), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2), + [sym_PSL_Restrict_Directive] = STATE(2), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2), + [sym_PSL_Cover_Directive] = STATE(2), + [sym_PSL_Fairness_Directive] = STATE(2), + [sym_PSL_Strong_Fairness_Directive] = STATE(2), + [sym__PSL_Verification_Unit] = STATE(1714), + [sym_PSL_VUnit] = STATE(1714), + [sym_PSL_VProp] = STATE(1714), + [sym_PSL_VMode] = STATE(1714), + [aux_sym_design_file_repeat1] = STATE(2), + [aux_sym_context_clause_repeat1] = STATE(537), + [ts_builtin_sym_end] = ACTIONS(127), + [sym_basic_identifier] = ACTIONS(129), + [aux_sym_entity_declaration_token1] = ACTIONS(132), + [aux_sym_architecture_body_token1] = ACTIONS(135), + [aux_sym_configuration_declaration_token1] = ACTIONS(138), + [aux_sym_block_configuration_token1] = ACTIONS(141), + [anon_sym_LPAREN] = ACTIONS(144), + [aux_sym__procedure_specification_token1] = ACTIONS(147), + [aux_sym__procedure_specification_token2] = ACTIONS(147), + [aux_sym__procedure_specification_token3] = ACTIONS(150), + [aux_sym__function_specification_token1] = ACTIONS(153), + [aux_sym_return_token1] = ACTIONS(156), + [aux_sym_package_declaration_token1] = ACTIONS(159), + [aux_sym_open_token1] = ACTIONS(162), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(165), + [aux_sym_file_type_definition_token1] = ACTIONS(168), + [aux_sym_subtype_declaration_token1] = ACTIONS(171), + [aux_sym_constant_declaration_token1] = ACTIONS(174), + [aux_sym_signal_declaration_token1] = ACTIONS(177), + [aux_sym_variable_declaration_token1] = ACTIONS(180), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(183), + [aux_sym_default_token1] = ACTIONS(186), + [aux_sym_alias_declaration_token1] = ACTIONS(189), + [aux_sym_attribute_declaration_token1] = ACTIONS(192), + [aux_sym_component_declaration_token1] = ACTIONS(195), + [aux_sym_group_template_declaration_token1] = ACTIONS(198), + [aux_sym_entity_class_token3] = ACTIONS(201), + [aux_sym_entity_class_token4] = ACTIONS(204), + [aux_sym_binding_indication_token1] = ACTIONS(207), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(210), + [aux_sym_disconnection_specification_token1] = ACTIONS(213), + [anon_sym_LT_LT] = ACTIONS(216), + [aux_sym_null_token1] = ACTIONS(219), + [aux_sym_wait_statement_token1] = ACTIONS(222), + [aux_sym_assertion_statement_token1] = ACTIONS(225), + [aux_sym_assertion_statement_token2] = ACTIONS(228), + [aux_sym__report_token1] = ACTIONS(231), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(234), + [aux_sym_if_statement_token1] = ACTIONS(237), + [aux_sym_case_statement_token1] = ACTIONS(240), + [aux_sym_loop_statement_token1] = ACTIONS(243), + [aux_sym_while_loop_token1] = ACTIONS(246), + [aux_sym_next_statement_token1] = ACTIONS(249), + [aux_sym_exit_statement_token1] = ACTIONS(252), + [aux_sym_block_statement_token1] = ACTIONS(255), + [aux_sym_process_statement_token1] = ACTIONS(258), + [aux_sym_library_clause_token1] = ACTIONS(261), + [aux_sym_context_declaration_token1] = ACTIONS(264), + [sym_extended_identifier] = ACTIONS(267), + [aux_sym_character_literal_token1] = ACTIONS(270), + [aux_sym_character_literal_token2] = ACTIONS(273), + [aux_sym_string_literal_token1] = ACTIONS(276), + [aux_sym_string_literal_token2] = ACTIONS(279), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(282), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(285), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(288), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(291), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(294), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(297), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(300), + [aux_sym_PSL_VProp_token1] = ACTIONS(303), + [aux_sym_PSL_VMode_token1] = ACTIONS(306), + }, + [3] = { + [sym_entity_declaration] = STATE(1714), + [sym_architecture_body] = STATE(1714), + [sym_configuration_declaration] = STATE(1714), + [sym_procedure_declaration] = STATE(2), + [sym_function_declaration] = STATE(2), + [sym__procedure_specification] = STATE(5899), + [sym__function_specification] = STATE(5897), + [sym_procedure_body] = STATE(2), + [sym_function_body] = STATE(2), + [sym_procedure_instantiation_declaration] = STATE(2), + [sym_function_instantiation_declaration] = STATE(2), + [sym_package_declaration] = STATE(2), + [sym_package_body] = STATE(2), + [sym_package_instantiation_declaration] = STATE(2), + [sym_open] = STATE(4576), + [sym_incomplete_type_declaration] = STATE(2), + [sym__declaration] = STATE(2), + [sym__type_declaration] = STATE(2), + [sym_full_type_declaration] = STATE(2), + [sym_subtype_declaration] = STATE(2), + [sym_constant_declaration] = STATE(2), + [sym_signal_declaration] = STATE(2), + [sym_variable_declaration] = STATE(2), + [sym_shared_variable_declaration] = STATE(2), + [sym_file_declaration] = STATE(2), + [sym_alias_declaration] = STATE(2), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(2), + [sym_component_declaration] = STATE(2), + [sym_group_template_declaration] = STATE(2), + [sym_group_declaration] = STATE(2), + [sym_attribute_specification] = STATE(2), + [sym_configuration_specification] = STATE(2), + [sym__entity_aspect] = STATE(4576), + [sym_disconnection_specification] = STATE(2), + [sym__simple_name] = STATE(4222), + [sym_selected_name] = STATE(4222), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym__sequential_statement] = STATE(2), + [sym_wait_statement] = STATE(2), + [sym_assertion_statement] = STATE(2), + [sym_report_statement] = STATE(2), + [sym__report] = STATE(5383), + [sym_simple_waveform_assignment] = STATE(1685), + [sym_simple_force_assignment] = STATE(2), + [sym_simple_release_assignment] = STATE(2), + [sym__target] = STATE(5895), + [sym_conditional_waveform_assignment] = STATE(1699), + [sym_conditional_force_assignment] = STATE(2), + [sym_selected_waveform_assignment] = STATE(1700), + [sym_selected_force_assignment] = STATE(2), + [sym_simple_variable_assignment] = STATE(2), + [sym_conditional_variable_assignment] = STATE(2), + [sym_selected_variable_assignment] = STATE(2), + [sym_procedure_call_statement] = STATE(2), + [sym_if_statement] = STATE(2), + [sym_if] = STATE(4755), + [sym_case_statement] = STATE(2), + [sym_loop_statement] = STATE(2), + [sym_while_loop] = STATE(7306), + [sym_for_loop] = STATE(7306), + [sym_next_statement] = STATE(2), + [sym_exit_statement] = STATE(2), + [sym_return_statement] = STATE(2), + [sym_null_statement] = STATE(2), + [sym__concurrent_statement] = STATE(2), + [sym_block_statement] = STATE(2), + [sym_process_statement] = STATE(2), + [sym_component_instantiation_statement] = STATE(2), + [sym_entity_instantiation] = STATE(4576), + [sym_configuration_instantiation] = STATE(4576), + [sym_component_instantiation] = STATE(4576), + [sym_for_generate_statement] = STATE(2), + [sym_if_generate_statement] = STATE(2), + [sym_if_generate] = STATE(4752), + [sym_case_generate_statement] = STATE(2), + [sym_label] = STATE(1889), + [sym_use_clause] = STATE(2), + [sym_design_unit] = STATE(2), + [sym__primary_unit] = STATE(1714), + [sym__secondary_unit] = STATE(1714), + [sym_library_clause] = STATE(537), + [sym_context_declaration] = STATE(1714), + [sym_context_clause] = STATE(450), + [sym__context_item] = STATE(537), + [sym_context_reference] = STATE(537), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2), + [sym_PSL_Clock_Declaration] = STATE(2), + [sym_PSL_Property_Declaration] = STATE(2), + [sym_PSL_Sequence_Declaration] = STATE(2), + [sym__PSL_Directive] = STATE(2), + [sym_PSL_Assert_Directive] = STATE(2), + [sym_PSL_Assume_Directive] = STATE(2), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2), + [sym_PSL_Restrict_Directive] = STATE(2), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2), + [sym_PSL_Cover_Directive] = STATE(2), + [sym_PSL_Fairness_Directive] = STATE(2), + [sym_PSL_Strong_Fairness_Directive] = STATE(2), + [sym__PSL_Verification_Unit] = STATE(1714), + [sym_PSL_VUnit] = STATE(1714), + [sym_PSL_VProp] = STATE(1714), + [sym_PSL_VMode] = STATE(1714), + [aux_sym_design_file_repeat1] = STATE(2), + [aux_sym_context_clause_repeat1] = STATE(537), + [ts_builtin_sym_end] = ACTIONS(309), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(9), + [aux_sym_architecture_body_token1] = ACTIONS(11), + [aux_sym_configuration_declaration_token1] = ACTIONS(13), + [aux_sym_block_configuration_token1] = ACTIONS(15), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(19), + [aux_sym__procedure_specification_token2] = ACTIONS(19), + [aux_sym__procedure_specification_token3] = ACTIONS(21), + [aux_sym__function_specification_token1] = ACTIONS(23), + [aux_sym_return_token1] = ACTIONS(25), + [aux_sym_package_declaration_token1] = ACTIONS(27), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(31), + [aux_sym_file_type_definition_token1] = ACTIONS(33), + [aux_sym_subtype_declaration_token1] = ACTIONS(35), + [aux_sym_constant_declaration_token1] = ACTIONS(37), + [aux_sym_signal_declaration_token1] = ACTIONS(39), + [aux_sym_variable_declaration_token1] = ACTIONS(41), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(43), + [aux_sym_default_token1] = ACTIONS(45), + [aux_sym_alias_declaration_token1] = ACTIONS(47), + [aux_sym_attribute_declaration_token1] = ACTIONS(49), + [aux_sym_component_declaration_token1] = ACTIONS(51), + [aux_sym_group_template_declaration_token1] = ACTIONS(53), + [aux_sym_entity_class_token3] = ACTIONS(55), + [aux_sym_entity_class_token4] = ACTIONS(57), + [aux_sym_binding_indication_token1] = ACTIONS(59), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(61), + [aux_sym_disconnection_specification_token1] = ACTIONS(63), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(67), + [aux_sym_wait_statement_token1] = ACTIONS(69), + [aux_sym_assertion_statement_token1] = ACTIONS(71), + [aux_sym_assertion_statement_token2] = ACTIONS(73), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(77), + [aux_sym_if_statement_token1] = ACTIONS(79), + [aux_sym_case_statement_token1] = ACTIONS(81), + [aux_sym_loop_statement_token1] = ACTIONS(83), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(87), + [aux_sym_exit_statement_token1] = ACTIONS(89), + [aux_sym_block_statement_token1] = ACTIONS(91), + [aux_sym_process_statement_token1] = ACTIONS(93), + [aux_sym_library_clause_token1] = ACTIONS(95), + [aux_sym_context_declaration_token1] = ACTIONS(97), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(109), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(111), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(113), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(115), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(117), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(119), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(121), + [aux_sym_PSL_VProp_token1] = ACTIONS(123), + [aux_sym_PSL_VMode_token1] = ACTIONS(125), + }, + [4] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(5451), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(315), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(366), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_elsif_token1] = ACTIONS(366), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [5] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(5629), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(392), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(395), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_elsif_token1] = ACTIONS(395), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [6] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(5601), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(397), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(400), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_elsif_token1] = ACTIONS(400), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [7] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(5552), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(402), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(405), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_elsif_token1] = ACTIONS(405), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [8] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(5908), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(407), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__when_clause_token1] = ACTIONS(410), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [9] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(5930), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(412), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__when_clause_token1] = ACTIONS(415), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [10] = { + [sym_procedure_declaration] = STATE(20), + [sym_function_declaration] = STATE(20), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(20), + [sym_function_body] = STATE(20), + [sym_procedure_instantiation_declaration] = STATE(20), + [sym_function_instantiation_declaration] = STATE(20), + [sym_package_declaration] = STATE(20), + [sym_package_body] = STATE(20), + [sym_package_instantiation_declaration] = STATE(20), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(20), + [sym__declaration] = STATE(20), + [sym__type_declaration] = STATE(20), + [sym_full_type_declaration] = STATE(20), + [sym_subtype_declaration] = STATE(20), + [sym_constant_declaration] = STATE(20), + [sym_signal_declaration] = STATE(20), + [sym_variable_declaration] = STATE(20), + [sym_shared_variable_declaration] = STATE(20), + [sym_file_declaration] = STATE(20), + [sym_alias_declaration] = STATE(20), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(20), + [sym_component_declaration] = STATE(20), + [sym_group_template_declaration] = STATE(20), + [sym_group_declaration] = STATE(20), + [sym_attribute_specification] = STATE(20), + [sym_configuration_specification] = STATE(20), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(20), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(20), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(20), + [sym__concurrent_statement] = STATE(20), + [sym_block_statement] = STATE(20), + [sym_process_statement] = STATE(20), + [sym_component_instantiation_statement] = STATE(20), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(20), + [sym_if_generate_statement] = STATE(20), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(20), + [sym_label] = STATE(2910), + [sym_use_clause] = STATE(20), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(20), + [sym_PSL_Clock_Declaration] = STATE(20), + [sym_PSL_Property_Declaration] = STATE(20), + [sym_PSL_Sequence_Declaration] = STATE(20), + [sym__PSL_Directive] = STATE(20), + [sym_PSL_Assert_Directive] = STATE(20), + [sym_PSL_Assume_Directive] = STATE(20), + [sym_PSL_Assume_Guarantee_Directive] = STATE(20), + [sym_PSL_Restrict_Directive] = STATE(20), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(20), + [sym_PSL_Cover_Directive] = STATE(20), + [sym_PSL_Fairness_Directive] = STATE(20), + [sym_PSL_Strong_Fairness_Directive] = STATE(20), + [sym_PSL_Inherit_Spec] = STATE(17), + [sym__PSL_VUnit_Item] = STATE(20), + [aux_sym_PSL_Verification_Unit_Body_repeat1] = STATE(17), + [aux_sym_PSL_Verification_Unit_Body_repeat2] = STATE(20), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(417), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(419), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + [aux_sym_PSL_Inherit_Spec_token1] = ACTIONS(421), + }, + [11] = { + [sym_procedure_declaration] = STATE(24), + [sym_function_declaration] = STATE(24), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(24), + [sym_function_body] = STATE(24), + [sym_procedure_instantiation_declaration] = STATE(24), + [sym_function_instantiation_declaration] = STATE(24), + [sym_package_declaration] = STATE(24), + [sym_package_body] = STATE(24), + [sym_package_instantiation_declaration] = STATE(24), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(24), + [sym__declaration] = STATE(24), + [sym__type_declaration] = STATE(24), + [sym_full_type_declaration] = STATE(24), + [sym_subtype_declaration] = STATE(24), + [sym_constant_declaration] = STATE(24), + [sym_signal_declaration] = STATE(24), + [sym_variable_declaration] = STATE(24), + [sym_shared_variable_declaration] = STATE(24), + [sym_file_declaration] = STATE(24), + [sym_alias_declaration] = STATE(24), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(24), + [sym_component_declaration] = STATE(24), + [sym_group_template_declaration] = STATE(24), + [sym_group_declaration] = STATE(24), + [sym_attribute_specification] = STATE(24), + [sym_configuration_specification] = STATE(24), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(24), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(24), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(24), + [sym__concurrent_statement] = STATE(24), + [sym_block_statement] = STATE(24), + [sym_process_statement] = STATE(24), + [sym_component_instantiation_statement] = STATE(24), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(24), + [sym_if_generate_statement] = STATE(24), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(24), + [sym_label] = STATE(2910), + [sym_use_clause] = STATE(24), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(24), + [sym_PSL_Clock_Declaration] = STATE(24), + [sym_PSL_Property_Declaration] = STATE(24), + [sym_PSL_Sequence_Declaration] = STATE(24), + [sym__PSL_Directive] = STATE(24), + [sym_PSL_Assert_Directive] = STATE(24), + [sym_PSL_Assume_Directive] = STATE(24), + [sym_PSL_Assume_Guarantee_Directive] = STATE(24), + [sym_PSL_Restrict_Directive] = STATE(24), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(24), + [sym_PSL_Cover_Directive] = STATE(24), + [sym_PSL_Fairness_Directive] = STATE(24), + [sym_PSL_Strong_Fairness_Directive] = STATE(24), + [sym_PSL_Inherit_Spec] = STATE(2445), + [sym__PSL_VUnit_Item] = STATE(24), + [aux_sym_PSL_Verification_Unit_Body_repeat1] = STATE(2445), + [aux_sym_PSL_Verification_Unit_Body_repeat2] = STATE(24), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(417), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(423), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + [aux_sym_PSL_Inherit_Spec_token1] = ACTIONS(421), + }, + [12] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(6447), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(425), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [13] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(6444), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(428), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [14] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(6697), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(430), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [15] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(7060), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(433), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [16] = { + [sym_procedure_declaration] = STATE(22), + [sym_function_declaration] = STATE(22), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(22), + [sym_function_body] = STATE(22), + [sym_procedure_instantiation_declaration] = STATE(22), + [sym_function_instantiation_declaration] = STATE(22), + [sym_package_declaration] = STATE(22), + [sym_package_body] = STATE(22), + [sym_package_instantiation_declaration] = STATE(22), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(22), + [sym__declaration] = STATE(22), + [sym__type_declaration] = STATE(22), + [sym_full_type_declaration] = STATE(22), + [sym_subtype_declaration] = STATE(22), + [sym_constant_declaration] = STATE(22), + [sym_signal_declaration] = STATE(22), + [sym_variable_declaration] = STATE(22), + [sym_shared_variable_declaration] = STATE(22), + [sym_file_declaration] = STATE(22), + [sym_alias_declaration] = STATE(22), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(22), + [sym_component_declaration] = STATE(22), + [sym_group_template_declaration] = STATE(22), + [sym_group_declaration] = STATE(22), + [sym_attribute_specification] = STATE(22), + [sym_configuration_specification] = STATE(22), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(22), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(22), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(22), + [sym__concurrent_statement] = STATE(22), + [sym_block_statement] = STATE(22), + [sym_process_statement] = STATE(22), + [sym_component_instantiation_statement] = STATE(22), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(22), + [sym_if_generate_statement] = STATE(22), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(22), + [sym_label] = STATE(2910), + [sym_use_clause] = STATE(22), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(22), + [sym_PSL_Clock_Declaration] = STATE(22), + [sym_PSL_Property_Declaration] = STATE(22), + [sym_PSL_Sequence_Declaration] = STATE(22), + [sym__PSL_Directive] = STATE(22), + [sym_PSL_Assert_Directive] = STATE(22), + [sym_PSL_Assume_Directive] = STATE(22), + [sym_PSL_Assume_Guarantee_Directive] = STATE(22), + [sym_PSL_Restrict_Directive] = STATE(22), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(22), + [sym_PSL_Cover_Directive] = STATE(22), + [sym_PSL_Fairness_Directive] = STATE(22), + [sym_PSL_Strong_Fairness_Directive] = STATE(22), + [sym_PSL_Inherit_Spec] = STATE(11), + [sym__PSL_VUnit_Item] = STATE(22), + [aux_sym_PSL_Verification_Unit_Body_repeat1] = STATE(11), + [aux_sym_PSL_Verification_Unit_Body_repeat2] = STATE(22), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(417), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(435), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + [aux_sym_PSL_Inherit_Spec_token1] = ACTIONS(421), + }, + [17] = { + [sym_procedure_declaration] = STATE(21), + [sym_function_declaration] = STATE(21), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(21), + [sym_function_body] = STATE(21), + [sym_procedure_instantiation_declaration] = STATE(21), + [sym_function_instantiation_declaration] = STATE(21), + [sym_package_declaration] = STATE(21), + [sym_package_body] = STATE(21), + [sym_package_instantiation_declaration] = STATE(21), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(21), + [sym__declaration] = STATE(21), + [sym__type_declaration] = STATE(21), + [sym_full_type_declaration] = STATE(21), + [sym_subtype_declaration] = STATE(21), + [sym_constant_declaration] = STATE(21), + [sym_signal_declaration] = STATE(21), + [sym_variable_declaration] = STATE(21), + [sym_shared_variable_declaration] = STATE(21), + [sym_file_declaration] = STATE(21), + [sym_alias_declaration] = STATE(21), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(21), + [sym_component_declaration] = STATE(21), + [sym_group_template_declaration] = STATE(21), + [sym_group_declaration] = STATE(21), + [sym_attribute_specification] = STATE(21), + [sym_configuration_specification] = STATE(21), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(21), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(21), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(21), + [sym__concurrent_statement] = STATE(21), + [sym_block_statement] = STATE(21), + [sym_process_statement] = STATE(21), + [sym_component_instantiation_statement] = STATE(21), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(21), + [sym_if_generate_statement] = STATE(21), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(21), + [sym_label] = STATE(2910), + [sym_use_clause] = STATE(21), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(21), + [sym_PSL_Clock_Declaration] = STATE(21), + [sym_PSL_Property_Declaration] = STATE(21), + [sym_PSL_Sequence_Declaration] = STATE(21), + [sym__PSL_Directive] = STATE(21), + [sym_PSL_Assert_Directive] = STATE(21), + [sym_PSL_Assume_Directive] = STATE(21), + [sym_PSL_Assume_Guarantee_Directive] = STATE(21), + [sym_PSL_Restrict_Directive] = STATE(21), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(21), + [sym_PSL_Cover_Directive] = STATE(21), + [sym_PSL_Fairness_Directive] = STATE(21), + [sym_PSL_Strong_Fairness_Directive] = STATE(21), + [sym_PSL_Inherit_Spec] = STATE(2445), + [sym__PSL_VUnit_Item] = STATE(21), + [aux_sym_PSL_Verification_Unit_Body_repeat1] = STATE(2445), + [aux_sym_PSL_Verification_Unit_Body_repeat2] = STATE(21), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(417), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(437), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + [aux_sym_PSL_Inherit_Spec_token1] = ACTIONS(421), + }, + [18] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(6915), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(439), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [19] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6916), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(271), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(242), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(242), + [sym__concurrent_statement] = STATE(242), + [sym_block_statement] = STATE(242), + [sym_process_statement] = STATE(242), + [sym_component_instantiation_statement] = STATE(242), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(242), + [sym_if_generate_statement] = STATE(242), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(242), + [sym_generate_statement_body] = STATE(7013), + [sym_label] = STATE(2946), + [sym_use_clause] = STATE(271), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(2295), + [sym_PSL_Clock_Declaration] = STATE(2295), + [sym_PSL_Property_Declaration] = STATE(2295), + [sym_PSL_Sequence_Declaration] = STATE(2295), + [sym__PSL_Directive] = STATE(2295), + [sym_PSL_Assert_Directive] = STATE(2295), + [sym_PSL_Assume_Directive] = STATE(2295), + [sym_PSL_Assume_Guarantee_Directive] = STATE(2295), + [sym_PSL_Restrict_Directive] = STATE(2295), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(2295), + [sym_PSL_Cover_Directive] = STATE(2295), + [sym_PSL_Fairness_Directive] = STATE(2295), + [sym_PSL_Strong_Fairness_Directive] = STATE(2295), + [aux_sym_declarative_part_repeat1] = STATE(271), + [aux_sym_concurrent_statement_part_repeat1] = STATE(242), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token3] = ACTIONS(313), + [aux_sym_entity_declaration_token4] = ACTIONS(441), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [20] = { + [sym_procedure_declaration] = STATE(23), + [sym_function_declaration] = STATE(23), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(23), + [sym_function_body] = STATE(23), + [sym_procedure_instantiation_declaration] = STATE(23), + [sym_function_instantiation_declaration] = STATE(23), + [sym_package_declaration] = STATE(23), + [sym_package_body] = STATE(23), + [sym_package_instantiation_declaration] = STATE(23), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(23), + [sym__declaration] = STATE(23), + [sym__type_declaration] = STATE(23), + [sym_full_type_declaration] = STATE(23), + [sym_subtype_declaration] = STATE(23), + [sym_constant_declaration] = STATE(23), + [sym_signal_declaration] = STATE(23), + [sym_variable_declaration] = STATE(23), + [sym_shared_variable_declaration] = STATE(23), + [sym_file_declaration] = STATE(23), + [sym_alias_declaration] = STATE(23), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(23), + [sym_component_declaration] = STATE(23), + [sym_group_template_declaration] = STATE(23), + [sym_group_declaration] = STATE(23), + [sym_attribute_specification] = STATE(23), + [sym_configuration_specification] = STATE(23), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(23), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(23), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(23), + [sym__concurrent_statement] = STATE(23), + [sym_block_statement] = STATE(23), + [sym_process_statement] = STATE(23), + [sym_component_instantiation_statement] = STATE(23), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(23), + [sym_if_generate_statement] = STATE(23), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(23), + [sym_label] = STATE(2910), + [sym_use_clause] = STATE(23), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(23), + [sym_PSL_Clock_Declaration] = STATE(23), + [sym_PSL_Property_Declaration] = STATE(23), + [sym_PSL_Sequence_Declaration] = STATE(23), + [sym__PSL_Directive] = STATE(23), + [sym_PSL_Assert_Directive] = STATE(23), + [sym_PSL_Assume_Directive] = STATE(23), + [sym_PSL_Assume_Guarantee_Directive] = STATE(23), + [sym_PSL_Restrict_Directive] = STATE(23), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(23), + [sym_PSL_Cover_Directive] = STATE(23), + [sym_PSL_Fairness_Directive] = STATE(23), + [sym_PSL_Strong_Fairness_Directive] = STATE(23), + [sym__PSL_VUnit_Item] = STATE(23), + [aux_sym_PSL_Verification_Unit_Body_repeat2] = STATE(23), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(417), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(437), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [21] = { + [sym_procedure_declaration] = STATE(23), + [sym_function_declaration] = STATE(23), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(23), + [sym_function_body] = STATE(23), + [sym_procedure_instantiation_declaration] = STATE(23), + [sym_function_instantiation_declaration] = STATE(23), + [sym_package_declaration] = STATE(23), + [sym_package_body] = STATE(23), + [sym_package_instantiation_declaration] = STATE(23), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(23), + [sym__declaration] = STATE(23), + [sym__type_declaration] = STATE(23), + [sym_full_type_declaration] = STATE(23), + [sym_subtype_declaration] = STATE(23), + [sym_constant_declaration] = STATE(23), + [sym_signal_declaration] = STATE(23), + [sym_variable_declaration] = STATE(23), + [sym_shared_variable_declaration] = STATE(23), + [sym_file_declaration] = STATE(23), + [sym_alias_declaration] = STATE(23), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(23), + [sym_component_declaration] = STATE(23), + [sym_group_template_declaration] = STATE(23), + [sym_group_declaration] = STATE(23), + [sym_attribute_specification] = STATE(23), + [sym_configuration_specification] = STATE(23), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(23), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(23), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(23), + [sym__concurrent_statement] = STATE(23), + [sym_block_statement] = STATE(23), + [sym_process_statement] = STATE(23), + [sym_component_instantiation_statement] = STATE(23), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(23), + [sym_if_generate_statement] = STATE(23), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(23), + [sym_label] = STATE(2910), + [sym_use_clause] = STATE(23), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(23), + [sym_PSL_Clock_Declaration] = STATE(23), + [sym_PSL_Property_Declaration] = STATE(23), + [sym_PSL_Sequence_Declaration] = STATE(23), + [sym__PSL_Directive] = STATE(23), + [sym_PSL_Assert_Directive] = STATE(23), + [sym_PSL_Assume_Directive] = STATE(23), + [sym_PSL_Assume_Guarantee_Directive] = STATE(23), + [sym_PSL_Restrict_Directive] = STATE(23), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(23), + [sym_PSL_Cover_Directive] = STATE(23), + [sym_PSL_Fairness_Directive] = STATE(23), + [sym_PSL_Strong_Fairness_Directive] = STATE(23), + [sym__PSL_VUnit_Item] = STATE(23), + [aux_sym_PSL_Verification_Unit_Body_repeat2] = STATE(23), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(417), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(443), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [22] = { + [sym_procedure_declaration] = STATE(23), + [sym_function_declaration] = STATE(23), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(23), + [sym_function_body] = STATE(23), + [sym_procedure_instantiation_declaration] = STATE(23), + [sym_function_instantiation_declaration] = STATE(23), + [sym_package_declaration] = STATE(23), + [sym_package_body] = STATE(23), + [sym_package_instantiation_declaration] = STATE(23), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(23), + [sym__declaration] = STATE(23), + [sym__type_declaration] = STATE(23), + [sym_full_type_declaration] = STATE(23), + [sym_subtype_declaration] = STATE(23), + [sym_constant_declaration] = STATE(23), + [sym_signal_declaration] = STATE(23), + [sym_variable_declaration] = STATE(23), + [sym_shared_variable_declaration] = STATE(23), + [sym_file_declaration] = STATE(23), + [sym_alias_declaration] = STATE(23), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(23), + [sym_component_declaration] = STATE(23), + [sym_group_template_declaration] = STATE(23), + [sym_group_declaration] = STATE(23), + [sym_attribute_specification] = STATE(23), + [sym_configuration_specification] = STATE(23), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(23), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(23), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(23), + [sym__concurrent_statement] = STATE(23), + [sym_block_statement] = STATE(23), + [sym_process_statement] = STATE(23), + [sym_component_instantiation_statement] = STATE(23), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(23), + [sym_if_generate_statement] = STATE(23), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(23), + [sym_label] = STATE(2910), + [sym_use_clause] = STATE(23), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(23), + [sym_PSL_Clock_Declaration] = STATE(23), + [sym_PSL_Property_Declaration] = STATE(23), + [sym_PSL_Sequence_Declaration] = STATE(23), + [sym__PSL_Directive] = STATE(23), + [sym_PSL_Assert_Directive] = STATE(23), + [sym_PSL_Assume_Directive] = STATE(23), + [sym_PSL_Assume_Guarantee_Directive] = STATE(23), + [sym_PSL_Restrict_Directive] = STATE(23), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(23), + [sym_PSL_Cover_Directive] = STATE(23), + [sym_PSL_Fairness_Directive] = STATE(23), + [sym_PSL_Strong_Fairness_Directive] = STATE(23), + [sym__PSL_VUnit_Item] = STATE(23), + [aux_sym_PSL_Verification_Unit_Body_repeat2] = STATE(23), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(417), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(423), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [23] = { + [sym_procedure_declaration] = STATE(23), + [sym_function_declaration] = STATE(23), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(23), + [sym_function_body] = STATE(23), + [sym_procedure_instantiation_declaration] = STATE(23), + [sym_function_instantiation_declaration] = STATE(23), + [sym_package_declaration] = STATE(23), + [sym_package_body] = STATE(23), + [sym_package_instantiation_declaration] = STATE(23), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(23), + [sym__declaration] = STATE(23), + [sym__type_declaration] = STATE(23), + [sym_full_type_declaration] = STATE(23), + [sym_subtype_declaration] = STATE(23), + [sym_constant_declaration] = STATE(23), + [sym_signal_declaration] = STATE(23), + [sym_variable_declaration] = STATE(23), + [sym_shared_variable_declaration] = STATE(23), + [sym_file_declaration] = STATE(23), + [sym_alias_declaration] = STATE(23), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(23), + [sym_component_declaration] = STATE(23), + [sym_group_template_declaration] = STATE(23), + [sym_group_declaration] = STATE(23), + [sym_attribute_specification] = STATE(23), + [sym_configuration_specification] = STATE(23), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(23), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(23), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(23), + [sym__concurrent_statement] = STATE(23), + [sym_block_statement] = STATE(23), + [sym_process_statement] = STATE(23), + [sym_component_instantiation_statement] = STATE(23), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(23), + [sym_if_generate_statement] = STATE(23), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(23), + [sym_label] = STATE(2910), + [sym_use_clause] = STATE(23), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(23), + [sym_PSL_Clock_Declaration] = STATE(23), + [sym_PSL_Property_Declaration] = STATE(23), + [sym_PSL_Sequence_Declaration] = STATE(23), + [sym__PSL_Directive] = STATE(23), + [sym_PSL_Assert_Directive] = STATE(23), + [sym_PSL_Assume_Directive] = STATE(23), + [sym_PSL_Assume_Guarantee_Directive] = STATE(23), + [sym_PSL_Restrict_Directive] = STATE(23), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(23), + [sym_PSL_Cover_Directive] = STATE(23), + [sym_PSL_Fairness_Directive] = STATE(23), + [sym_PSL_Strong_Fairness_Directive] = STATE(23), + [sym__PSL_VUnit_Item] = STATE(23), + [aux_sym_PSL_Verification_Unit_Body_repeat2] = STATE(23), + [sym_basic_identifier] = ACTIONS(445), + [aux_sym_entity_declaration_token1] = ACTIONS(448), + [aux_sym_configuration_declaration_token1] = ACTIONS(451), + [aux_sym_block_configuration_token1] = ACTIONS(454), + [anon_sym_LPAREN] = ACTIONS(457), + [aux_sym__procedure_specification_token1] = ACTIONS(460), + [aux_sym__procedure_specification_token2] = ACTIONS(460), + [aux_sym__procedure_specification_token3] = ACTIONS(463), + [aux_sym__function_specification_token1] = ACTIONS(466), + [aux_sym_package_declaration_token1] = ACTIONS(469), + [aux_sym_open_token1] = ACTIONS(472), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(475), + [aux_sym_file_type_definition_token1] = ACTIONS(478), + [aux_sym_subtype_declaration_token1] = ACTIONS(481), + [aux_sym_constant_declaration_token1] = ACTIONS(484), + [aux_sym_signal_declaration_token1] = ACTIONS(487), + [aux_sym_variable_declaration_token1] = ACTIONS(490), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(493), + [aux_sym_default_token1] = ACTIONS(496), + [aux_sym_alias_declaration_token1] = ACTIONS(499), + [aux_sym_attribute_declaration_token1] = ACTIONS(502), + [aux_sym_component_declaration_token1] = ACTIONS(505), + [aux_sym_group_template_declaration_token1] = ACTIONS(508), + [aux_sym_entity_class_token3] = ACTIONS(511), + [aux_sym_entity_class_token4] = ACTIONS(514), + [aux_sym_binding_indication_token1] = ACTIONS(517), + [aux_sym_disconnection_specification_token1] = ACTIONS(520), + [anon_sym_LT_LT] = ACTIONS(523), + [aux_sym_assertion_statement_token1] = ACTIONS(526), + [aux_sym_assertion_statement_token2] = ACTIONS(529), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(532), + [aux_sym_if_statement_token1] = ACTIONS(535), + [aux_sym_case_statement_token1] = ACTIONS(538), + [aux_sym_block_statement_token1] = ACTIONS(541), + [aux_sym_process_statement_token1] = ACTIONS(544), + [sym_extended_identifier] = ACTIONS(547), + [aux_sym_character_literal_token1] = ACTIONS(550), + [aux_sym_character_literal_token2] = ACTIONS(553), + [aux_sym_string_literal_token1] = ACTIONS(556), + [aux_sym_string_literal_token2] = ACTIONS(559), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(562), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(564), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(567), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(570), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(573), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(576), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(579), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(582), + }, + [24] = { + [sym_procedure_declaration] = STATE(23), + [sym_function_declaration] = STATE(23), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(23), + [sym_function_body] = STATE(23), + [sym_procedure_instantiation_declaration] = STATE(23), + [sym_function_instantiation_declaration] = STATE(23), + [sym_package_declaration] = STATE(23), + [sym_package_body] = STATE(23), + [sym_package_instantiation_declaration] = STATE(23), + [sym_open] = STATE(4553), + [sym_incomplete_type_declaration] = STATE(23), + [sym__declaration] = STATE(23), + [sym__type_declaration] = STATE(23), + [sym_full_type_declaration] = STATE(23), + [sym_subtype_declaration] = STATE(23), + [sym_constant_declaration] = STATE(23), + [sym_signal_declaration] = STATE(23), + [sym_variable_declaration] = STATE(23), + [sym_shared_variable_declaration] = STATE(23), + [sym_file_declaration] = STATE(23), + [sym_alias_declaration] = STATE(23), + [sym__operator_symbol] = STATE(7310), + [sym_attribute_declaration] = STATE(23), + [sym_component_declaration] = STATE(23), + [sym_group_template_declaration] = STATE(23), + [sym_group_declaration] = STATE(23), + [sym_attribute_specification] = STATE(23), + [sym_configuration_specification] = STATE(23), + [sym__entity_aspect] = STATE(4553), + [sym_disconnection_specification] = STATE(23), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(23), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(23), + [sym__concurrent_statement] = STATE(23), + [sym_block_statement] = STATE(23), + [sym_process_statement] = STATE(23), + [sym_component_instantiation_statement] = STATE(23), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(23), + [sym_if_generate_statement] = STATE(23), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(23), + [sym_label] = STATE(2910), + [sym_use_clause] = STATE(23), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(23), + [sym_PSL_Clock_Declaration] = STATE(23), + [sym_PSL_Property_Declaration] = STATE(23), + [sym_PSL_Sequence_Declaration] = STATE(23), + [sym__PSL_Directive] = STATE(23), + [sym_PSL_Assert_Directive] = STATE(23), + [sym_PSL_Assume_Directive] = STATE(23), + [sym_PSL_Assume_Guarantee_Directive] = STATE(23), + [sym_PSL_Restrict_Directive] = STATE(23), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(23), + [sym_PSL_Cover_Directive] = STATE(23), + [sym_PSL_Fairness_Directive] = STATE(23), + [sym_PSL_Strong_Fairness_Directive] = STATE(23), + [sym__PSL_VUnit_Item] = STATE(23), + [aux_sym_PSL_Verification_Unit_Body_repeat2] = STATE(23), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(320), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(350), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(417), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(585), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [25] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2042), + [sym_selected_name] = STATE(2042), + [sym_ambiguous_name] = STATE(2040), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(2111), + [sym_external_constant_name] = STATE(2104), + [sym_external_signal_name] = STATE(2104), + [sym_external_variable_name] = STATE(2104), + [sym__expr] = STATE(1759), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym__element_association_list] = STATE(6049), + [sym_positional_element_association] = STATE(7221), + [sym_named_element_association] = STATE(5890), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3814), + [sym_PSL_Built_In_Function_Call] = STATE(3814), + [sym_PSL_Union_Expression] = STATE(5291), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4148), + [sym_PSL_Sequential_FL_Property] = STATE(4148), + [sym_PSL_Clocked_FL_Property] = STATE(4148), + [sym_PSL_Invariant_FL_Property] = STATE(4148), + [sym_PSL_Ocurrence_FL_Property] = STATE(4148), + [sym_PSL_Implication_FL_Property] = STATE(4148), + [sym_PSL_Logical_FL_Property] = STATE(4148), + [sym_PSL_Factor_FL_Property] = STATE(4148), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4148), + [sym_PSL_Termination_FL_Property] = STATE(4148), + [sym_PSL_Bounding_FL_Property] = STATE(4148), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4148), + [sym_PSL_Parameterized_Property] = STATE(4148), + [sym_PSL_Value_Set] = STATE(5614), + [sym_boolean] = STATE(5615), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4148), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(605), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_others_token1] = ACTIONS(615), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(641), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_boolean_token1] = ACTIONS(651), + }, + [26] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2042), + [sym_selected_name] = STATE(2042), + [sym_ambiguous_name] = STATE(2040), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(2111), + [sym_external_constant_name] = STATE(2104), + [sym_external_signal_name] = STATE(2104), + [sym_external_variable_name] = STATE(2104), + [sym__expr] = STATE(1888), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym__element_association_list] = STATE(6049), + [sym_positional_element_association] = STATE(7221), + [sym_named_element_association] = STATE(5890), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3958), + [sym_PSL_Built_In_Function_Call] = STATE(3958), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4148), + [sym_PSL_Sequential_FL_Property] = STATE(4148), + [sym_PSL_Clocked_FL_Property] = STATE(4148), + [sym_PSL_Invariant_FL_Property] = STATE(4148), + [sym_PSL_Ocurrence_FL_Property] = STATE(4148), + [sym_PSL_Implication_FL_Property] = STATE(4148), + [sym_PSL_Logical_FL_Property] = STATE(4148), + [sym_PSL_Factor_FL_Property] = STATE(4148), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4148), + [sym_PSL_Termination_FL_Property] = STATE(4148), + [sym_PSL_Bounding_FL_Property] = STATE(4148), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4148), + [sym_PSL_Parameterized_Property] = STATE(4148), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4148), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(605), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_others_token1] = ACTIONS(615), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [27] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2042), + [sym_selected_name] = STATE(2042), + [sym_ambiguous_name] = STATE(2040), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(2111), + [sym_external_constant_name] = STATE(2104), + [sym_external_signal_name] = STATE(2104), + [sym_external_variable_name] = STATE(2104), + [sym__expr] = STATE(1884), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym__element_association_list] = STATE(6049), + [sym_positional_element_association] = STATE(7221), + [sym_named_element_association] = STATE(5890), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3977), + [sym_PSL_Built_In_Function_Call] = STATE(3977), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4148), + [sym_PSL_Sequential_FL_Property] = STATE(4148), + [sym_PSL_Clocked_FL_Property] = STATE(4148), + [sym_PSL_Invariant_FL_Property] = STATE(4148), + [sym_PSL_Ocurrence_FL_Property] = STATE(4148), + [sym_PSL_Implication_FL_Property] = STATE(4148), + [sym_PSL_Logical_FL_Property] = STATE(4148), + [sym_PSL_Factor_FL_Property] = STATE(4148), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4148), + [sym_PSL_Termination_FL_Property] = STATE(4148), + [sym_PSL_Bounding_FL_Property] = STATE(4148), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4148), + [sym_PSL_Parameterized_Property] = STATE(4148), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4148), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(605), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_others_token1] = ACTIONS(615), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [28] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2027), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3854), + [sym_PSL_Built_In_Function_Call] = STATE(3854), + [sym_PSL_Union_Expression] = STATE(5616), + [sym__PSL_Sequence] = STATE(3870), + [sym_PSL_Repeated_SERE] = STATE(3870), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3870), + [sym_PSL_Clocked_SERE] = STATE(3870), + [sym_PSL_Parenthesized_FL_Property] = STATE(4079), + [sym_PSL_Sequential_FL_Property] = STATE(4079), + [sym_PSL_Clocked_FL_Property] = STATE(4079), + [sym_PSL_Invariant_FL_Property] = STATE(4079), + [sym_PSL_Ocurrence_FL_Property] = STATE(4079), + [sym_PSL_Implication_FL_Property] = STATE(4079), + [sym_PSL_Logical_FL_Property] = STATE(4079), + [sym_PSL_Factor_FL_Property] = STATE(4079), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4079), + [sym_PSL_Termination_FL_Property] = STATE(4079), + [sym_PSL_Bounding_FL_Property] = STATE(4079), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4079), + [sym_PSL_Parameterized_Property] = STATE(4079), + [sym_PSL_Property_Replicator] = STATE(5731), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5731), + [sym__PSL_Sequence_Instance] = STATE(3870), + [sym__PSL_Property_Instance] = STATE(4079), + [sym_PSL_Actual_Parameter_List] = STATE(7335), + [sym_PSL_Actual_Parameter] = STATE(5618), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(681), + }, + [29] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2027), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3854), + [sym_PSL_Built_In_Function_Call] = STATE(3854), + [sym_PSL_Union_Expression] = STATE(5616), + [sym__PSL_Sequence] = STATE(3870), + [sym_PSL_Repeated_SERE] = STATE(3870), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3870), + [sym_PSL_Clocked_SERE] = STATE(3870), + [sym_PSL_Parenthesized_FL_Property] = STATE(4079), + [sym_PSL_Sequential_FL_Property] = STATE(4079), + [sym_PSL_Clocked_FL_Property] = STATE(4079), + [sym_PSL_Invariant_FL_Property] = STATE(4079), + [sym_PSL_Ocurrence_FL_Property] = STATE(4079), + [sym_PSL_Implication_FL_Property] = STATE(4079), + [sym_PSL_Logical_FL_Property] = STATE(4079), + [sym_PSL_Factor_FL_Property] = STATE(4079), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4079), + [sym_PSL_Termination_FL_Property] = STATE(4079), + [sym_PSL_Bounding_FL_Property] = STATE(4079), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4079), + [sym_PSL_Parameterized_Property] = STATE(4079), + [sym_PSL_Property_Replicator] = STATE(5731), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5731), + [sym__PSL_Sequence_Instance] = STATE(3870), + [sym__PSL_Property_Instance] = STATE(4079), + [sym_PSL_Actual_Parameter_List] = STATE(6814), + [sym_PSL_Actual_Parameter] = STATE(5618), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(681), + }, + [30] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2027), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3854), + [sym_PSL_Built_In_Function_Call] = STATE(3854), + [sym_PSL_Union_Expression] = STATE(5616), + [sym__PSL_Sequence] = STATE(3870), + [sym_PSL_Repeated_SERE] = STATE(3870), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3870), + [sym_PSL_Clocked_SERE] = STATE(3870), + [sym_PSL_Parenthesized_FL_Property] = STATE(4079), + [sym_PSL_Sequential_FL_Property] = STATE(4079), + [sym_PSL_Clocked_FL_Property] = STATE(4079), + [sym_PSL_Invariant_FL_Property] = STATE(4079), + [sym_PSL_Ocurrence_FL_Property] = STATE(4079), + [sym_PSL_Implication_FL_Property] = STATE(4079), + [sym_PSL_Logical_FL_Property] = STATE(4079), + [sym_PSL_Factor_FL_Property] = STATE(4079), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4079), + [sym_PSL_Termination_FL_Property] = STATE(4079), + [sym_PSL_Bounding_FL_Property] = STATE(4079), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4079), + [sym_PSL_Parameterized_Property] = STATE(4079), + [sym_PSL_Property_Replicator] = STATE(5731), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5731), + [sym__PSL_Sequence_Instance] = STATE(3870), + [sym__PSL_Property_Instance] = STATE(4079), + [sym_PSL_Actual_Parameter] = STATE(5838), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(681), + }, + [31] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2128), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3874), + [sym_PSL_Built_In_Function_Call] = STATE(3874), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3816), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4054), + [sym_PSL_Sequential_FL_Property] = STATE(4054), + [sym_PSL_Clocked_FL_Property] = STATE(4054), + [sym_PSL_Invariant_FL_Property] = STATE(4054), + [sym_PSL_Ocurrence_FL_Property] = STATE(4054), + [sym_PSL_Implication_FL_Property] = STATE(4054), + [sym_PSL_Logical_FL_Property] = STATE(4054), + [sym_PSL_Factor_FL_Property] = STATE(4054), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4054), + [sym__PSL_Extended_Ocurrence_argument] = STATE(4144), + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = STATE(7083), + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = STATE(7082), + [sym_PSL_Termination_FL_Property] = STATE(4054), + [sym_PSL_Bounding_FL_Property] = STATE(4054), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4054), + [sym_PSL_Parameterized_Property] = STATE(4054), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4054), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(683), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [anon_sym_BANG2] = ACTIONS(685), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [32] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2128), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3874), + [sym_PSL_Built_In_Function_Call] = STATE(3874), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3816), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4054), + [sym_PSL_Sequential_FL_Property] = STATE(4054), + [sym_PSL_Clocked_FL_Property] = STATE(4054), + [sym_PSL_Invariant_FL_Property] = STATE(4054), + [sym_PSL_Ocurrence_FL_Property] = STATE(4054), + [sym_PSL_Implication_FL_Property] = STATE(4054), + [sym_PSL_Logical_FL_Property] = STATE(4054), + [sym_PSL_Factor_FL_Property] = STATE(4054), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4054), + [sym__PSL_Extended_Ocurrence_argument] = STATE(4115), + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = STATE(7083), + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = STATE(7082), + [sym_PSL_Termination_FL_Property] = STATE(4054), + [sym_PSL_Bounding_FL_Property] = STATE(4054), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4054), + [sym_PSL_Parameterized_Property] = STATE(4054), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4054), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(687), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [anon_sym_BANG2] = ACTIONS(685), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [33] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2210), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3941), + [sym_PSL_Built_In_Function_Call] = STATE(3941), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3816), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4107), + [sym_PSL_Sequential_FL_Property] = STATE(4107), + [sym_PSL_Clocked_FL_Property] = STATE(4107), + [sym_PSL_Invariant_FL_Property] = STATE(4107), + [sym_PSL_Ocurrence_FL_Property] = STATE(4107), + [sym_PSL_Implication_FL_Property] = STATE(4107), + [sym_PSL_Logical_FL_Property] = STATE(4107), + [sym_PSL_Factor_FL_Property] = STATE(4107), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4107), + [sym__PSL_Extended_Ocurrence_argument] = STATE(4115), + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = STATE(7083), + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = STATE(7082), + [sym_PSL_Termination_FL_Property] = STATE(4107), + [sym_PSL_Bounding_FL_Property] = STATE(4107), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4107), + [sym_PSL_Parameterized_Property] = STATE(4107), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4107), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(687), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [anon_sym_BANG2] = ACTIONS(691), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [34] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2101), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3907), + [sym_PSL_Built_In_Function_Call] = STATE(3907), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3816), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4080), + [sym_PSL_Sequential_FL_Property] = STATE(4080), + [sym_PSL_Clocked_FL_Property] = STATE(4080), + [sym_PSL_Invariant_FL_Property] = STATE(4080), + [sym_PSL_Ocurrence_FL_Property] = STATE(4080), + [sym_PSL_Implication_FL_Property] = STATE(4080), + [sym_PSL_Logical_FL_Property] = STATE(4080), + [sym_PSL_Factor_FL_Property] = STATE(4080), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4080), + [sym__PSL_Extended_Ocurrence_argument] = STATE(4144), + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = STATE(7083), + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = STATE(7082), + [sym_PSL_Termination_FL_Property] = STATE(4080), + [sym_PSL_Bounding_FL_Property] = STATE(4080), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4080), + [sym_PSL_Parameterized_Property] = STATE(4080), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4080), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(683), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [anon_sym_BANG2] = ACTIONS(719), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [35] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2210), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3941), + [sym_PSL_Built_In_Function_Call] = STATE(3941), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3816), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4107), + [sym_PSL_Sequential_FL_Property] = STATE(4107), + [sym_PSL_Clocked_FL_Property] = STATE(4107), + [sym_PSL_Invariant_FL_Property] = STATE(4107), + [sym_PSL_Ocurrence_FL_Property] = STATE(4107), + [sym_PSL_Implication_FL_Property] = STATE(4107), + [sym_PSL_Logical_FL_Property] = STATE(4107), + [sym_PSL_Factor_FL_Property] = STATE(4107), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4107), + [sym__PSL_Extended_Ocurrence_argument] = STATE(4144), + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = STATE(7083), + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = STATE(7082), + [sym_PSL_Termination_FL_Property] = STATE(4107), + [sym_PSL_Bounding_FL_Property] = STATE(4107), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4107), + [sym_PSL_Parameterized_Property] = STATE(4107), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4107), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(683), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [anon_sym_BANG2] = ACTIONS(691), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [36] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2101), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3907), + [sym_PSL_Built_In_Function_Call] = STATE(3907), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3816), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4080), + [sym_PSL_Sequential_FL_Property] = STATE(4080), + [sym_PSL_Clocked_FL_Property] = STATE(4080), + [sym_PSL_Invariant_FL_Property] = STATE(4080), + [sym_PSL_Ocurrence_FL_Property] = STATE(4080), + [sym_PSL_Implication_FL_Property] = STATE(4080), + [sym_PSL_Logical_FL_Property] = STATE(4080), + [sym_PSL_Factor_FL_Property] = STATE(4080), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4080), + [sym__PSL_Extended_Ocurrence_argument] = STATE(4115), + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = STATE(7083), + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = STATE(7082), + [sym_PSL_Termination_FL_Property] = STATE(4080), + [sym_PSL_Bounding_FL_Property] = STATE(4080), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4080), + [sym_PSL_Parameterized_Property] = STATE(4080), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4080), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(687), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [anon_sym_BANG2] = ACTIONS(719), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [37] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2182), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4015), + [sym_PSL_Built_In_Function_Call] = STATE(4015), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4105), + [sym_PSL_Sequential_FL_Property] = STATE(4105), + [sym_PSL_Clocked_FL_Property] = STATE(4105), + [sym_PSL_Invariant_FL_Property] = STATE(4105), + [sym_PSL_Ocurrence_FL_Property] = STATE(4105), + [sym_PSL_Implication_FL_Property] = STATE(4105), + [sym_PSL_Logical_FL_Property] = STATE(4105), + [sym_PSL_Factor_FL_Property] = STATE(4105), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4105), + [sym_PSL_Termination_FL_Property] = STATE(4105), + [sym_PSL_Bounding_FL_Property] = STATE(4105), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4105), + [sym_PSL_Parameterized_Property] = STATE(4105), + [sym_PSL_Property_Replicator] = STATE(7213), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(7213), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4105), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [38] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2214), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3952), + [sym_PSL_Built_In_Function_Call] = STATE(3952), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4151), + [sym_PSL_Sequential_FL_Property] = STATE(4151), + [sym_PSL_Clocked_FL_Property] = STATE(4151), + [sym_PSL_Invariant_FL_Property] = STATE(4151), + [sym_PSL_Ocurrence_FL_Property] = STATE(4151), + [sym_PSL_Implication_FL_Property] = STATE(4151), + [sym_PSL_Logical_FL_Property] = STATE(4151), + [sym_PSL_Factor_FL_Property] = STATE(4151), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4151), + [sym_PSL_Termination_FL_Property] = STATE(4151), + [sym_PSL_Bounding_FL_Property] = STATE(4151), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4151), + [sym_PSL_Parameterized_Property] = STATE(4151), + [sym_PSL_Property_Replicator] = STATE(6101), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(6101), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4152), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [39] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2050), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3846), + [sym_PSL_Built_In_Function_Call] = STATE(3846), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(3992), + [sym_PSL_Sequential_FL_Property] = STATE(3992), + [sym_PSL_Clocked_FL_Property] = STATE(3992), + [sym_PSL_Invariant_FL_Property] = STATE(3992), + [sym_PSL_Ocurrence_FL_Property] = STATE(3992), + [sym_PSL_Implication_FL_Property] = STATE(3992), + [sym_PSL_Logical_FL_Property] = STATE(3992), + [sym_PSL_Factor_FL_Property] = STATE(3992), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(3992), + [sym_PSL_Termination_FL_Property] = STATE(3992), + [sym_PSL_Bounding_FL_Property] = STATE(3992), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(3992), + [sym_PSL_Parameterized_Property] = STATE(3992), + [sym_PSL_Property_Replicator] = STATE(5543), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5543), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(3992), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [40] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(1922), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3840), + [sym_PSL_Built_In_Function_Call] = STATE(3840), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4020), + [sym_PSL_Sequential_FL_Property] = STATE(4020), + [sym_PSL_Clocked_FL_Property] = STATE(4020), + [sym_PSL_Invariant_FL_Property] = STATE(4020), + [sym_PSL_Ocurrence_FL_Property] = STATE(4020), + [sym_PSL_Implication_FL_Property] = STATE(4020), + [sym_PSL_Logical_FL_Property] = STATE(4020), + [sym_PSL_Factor_FL_Property] = STATE(4020), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4020), + [sym_PSL_Termination_FL_Property] = STATE(4020), + [sym_PSL_Bounding_FL_Property] = STATE(4020), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4020), + [sym_PSL_Parameterized_Property] = STATE(4020), + [sym_PSL_Property_Replicator] = STATE(5425), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5425), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4020), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [41] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2125), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3896), + [sym_PSL_Built_In_Function_Call] = STATE(3896), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3816), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4044), + [sym_PSL_Sequential_FL_Property] = STATE(4044), + [sym_PSL_Clocked_FL_Property] = STATE(4044), + [sym_PSL_Invariant_FL_Property] = STATE(4044), + [sym_PSL_Ocurrence_FL_Property] = STATE(4044), + [sym_PSL_Implication_FL_Property] = STATE(4044), + [sym_PSL_Logical_FL_Property] = STATE(4044), + [sym_PSL_Factor_FL_Property] = STATE(4044), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4044), + [sym__PSL_Extended_Ocurrence_argument] = STATE(4169), + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = STATE(7083), + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = STATE(7082), + [sym_PSL_Termination_FL_Property] = STATE(4044), + [sym_PSL_Bounding_FL_Property] = STATE(4044), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4044), + [sym_PSL_Parameterized_Property] = STATE(4044), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4044), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(687), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [42] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2162), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4010), + [sym_PSL_Built_In_Function_Call] = STATE(4010), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4099), + [sym_PSL_Sequential_FL_Property] = STATE(4099), + [sym_PSL_Clocked_FL_Property] = STATE(4099), + [sym_PSL_Invariant_FL_Property] = STATE(4099), + [sym_PSL_Ocurrence_FL_Property] = STATE(4099), + [sym_PSL_Implication_FL_Property] = STATE(4099), + [sym_PSL_Logical_FL_Property] = STATE(4099), + [sym_PSL_Factor_FL_Property] = STATE(4099), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4099), + [sym_PSL_Termination_FL_Property] = STATE(4099), + [sym_PSL_Bounding_FL_Property] = STATE(4099), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4099), + [sym_PSL_Parameterized_Property] = STATE(4099), + [sym_PSL_Property_Replicator] = STATE(6908), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(6908), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4099), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [43] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(1914), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3838), + [sym_PSL_Built_In_Function_Call] = STATE(3838), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4027), + [sym_PSL_Sequential_FL_Property] = STATE(4027), + [sym_PSL_Clocked_FL_Property] = STATE(4027), + [sym_PSL_Invariant_FL_Property] = STATE(4027), + [sym_PSL_Ocurrence_FL_Property] = STATE(4027), + [sym_PSL_Implication_FL_Property] = STATE(4027), + [sym_PSL_Logical_FL_Property] = STATE(4027), + [sym_PSL_Factor_FL_Property] = STATE(4027), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4027), + [sym_PSL_Termination_FL_Property] = STATE(4027), + [sym_PSL_Bounding_FL_Property] = STATE(4027), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4027), + [sym_PSL_Parameterized_Property] = STATE(4027), + [sym_PSL_Property_Replicator] = STATE(5443), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5443), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4027), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [44] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2194), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4029), + [sym_PSL_Built_In_Function_Call] = STATE(4029), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4154), + [sym_PSL_Sequential_FL_Property] = STATE(4154), + [sym_PSL_Clocked_FL_Property] = STATE(4154), + [sym_PSL_Invariant_FL_Property] = STATE(4154), + [sym_PSL_Ocurrence_FL_Property] = STATE(4154), + [sym_PSL_Implication_FL_Property] = STATE(4154), + [sym_PSL_Logical_FL_Property] = STATE(4154), + [sym_PSL_Factor_FL_Property] = STATE(4154), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4154), + [sym_PSL_Termination_FL_Property] = STATE(4154), + [sym_PSL_Bounding_FL_Property] = STATE(4154), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4154), + [sym_PSL_Parameterized_Property] = STATE(4154), + [sym_PSL_Property_Replicator] = STATE(6849), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(6849), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4155), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [45] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2140), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3974), + [sym_PSL_Built_In_Function_Call] = STATE(3974), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4119), + [sym_PSL_Sequential_FL_Property] = STATE(4119), + [sym_PSL_Clocked_FL_Property] = STATE(4119), + [sym_PSL_Invariant_FL_Property] = STATE(4119), + [sym_PSL_Ocurrence_FL_Property] = STATE(4119), + [sym_PSL_Implication_FL_Property] = STATE(4119), + [sym_PSL_Logical_FL_Property] = STATE(4119), + [sym_PSL_Factor_FL_Property] = STATE(4119), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4119), + [sym_PSL_Termination_FL_Property] = STATE(4119), + [sym_PSL_Bounding_FL_Property] = STATE(4119), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4119), + [sym_PSL_Parameterized_Property] = STATE(4119), + [sym_PSL_Property_Replicator] = STATE(7324), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(7324), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4119), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [46] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2053), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3838), + [sym_PSL_Built_In_Function_Call] = STATE(3838), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4027), + [sym_PSL_Sequential_FL_Property] = STATE(4027), + [sym_PSL_Clocked_FL_Property] = STATE(4027), + [sym_PSL_Invariant_FL_Property] = STATE(4027), + [sym_PSL_Ocurrence_FL_Property] = STATE(4027), + [sym_PSL_Implication_FL_Property] = STATE(4027), + [sym_PSL_Logical_FL_Property] = STATE(4027), + [sym_PSL_Factor_FL_Property] = STATE(4027), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4027), + [sym_PSL_Termination_FL_Property] = STATE(4027), + [sym_PSL_Bounding_FL_Property] = STATE(4027), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4027), + [sym_PSL_Parameterized_Property] = STATE(4027), + [sym_PSL_Property_Replicator] = STATE(5443), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5443), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4027), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [47] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2108), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3897), + [sym_PSL_Built_In_Function_Call] = STATE(3897), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4046), + [sym_PSL_Sequential_FL_Property] = STATE(4046), + [sym_PSL_Clocked_FL_Property] = STATE(4046), + [sym_PSL_Invariant_FL_Property] = STATE(4046), + [sym_PSL_Ocurrence_FL_Property] = STATE(4046), + [sym_PSL_Implication_FL_Property] = STATE(4046), + [sym_PSL_Logical_FL_Property] = STATE(4046), + [sym_PSL_Factor_FL_Property] = STATE(4046), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4046), + [sym_PSL_Termination_FL_Property] = STATE(4046), + [sym_PSL_Bounding_FL_Property] = STATE(4046), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4046), + [sym_PSL_Parameterized_Property] = STATE(4046), + [sym_PSL_Property_Replicator] = STATE(5197), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5197), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4045), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(681), + }, + [48] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(1932), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3844), + [sym_PSL_Built_In_Function_Call] = STATE(3844), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(3980), + [sym_PSL_Sequential_FL_Property] = STATE(3980), + [sym_PSL_Clocked_FL_Property] = STATE(3980), + [sym_PSL_Invariant_FL_Property] = STATE(3980), + [sym_PSL_Ocurrence_FL_Property] = STATE(3980), + [sym_PSL_Implication_FL_Property] = STATE(3980), + [sym_PSL_Logical_FL_Property] = STATE(3980), + [sym_PSL_Factor_FL_Property] = STATE(3980), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(3980), + [sym_PSL_Termination_FL_Property] = STATE(3980), + [sym_PSL_Bounding_FL_Property] = STATE(3980), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(3980), + [sym_PSL_Parameterized_Property] = STATE(3980), + [sym_PSL_Property_Replicator] = STATE(5373), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5373), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(3980), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [49] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2212), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4030), + [sym_PSL_Built_In_Function_Call] = STATE(4030), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3816), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4103), + [sym_PSL_Sequential_FL_Property] = STATE(4103), + [sym_PSL_Clocked_FL_Property] = STATE(4103), + [sym_PSL_Invariant_FL_Property] = STATE(4103), + [sym_PSL_Ocurrence_FL_Property] = STATE(4103), + [sym_PSL_Implication_FL_Property] = STATE(4103), + [sym_PSL_Logical_FL_Property] = STATE(4103), + [sym_PSL_Factor_FL_Property] = STATE(4103), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4103), + [sym__PSL_Extended_Ocurrence_argument] = STATE(4169), + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = STATE(7083), + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = STATE(7082), + [sym_PSL_Termination_FL_Property] = STATE(4103), + [sym_PSL_Bounding_FL_Property] = STATE(4103), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4103), + [sym_PSL_Parameterized_Property] = STATE(4103), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4103), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(687), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [50] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2124), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3872), + [sym_PSL_Built_In_Function_Call] = STATE(3872), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3816), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4037), + [sym_PSL_Sequential_FL_Property] = STATE(4037), + [sym_PSL_Clocked_FL_Property] = STATE(4037), + [sym_PSL_Invariant_FL_Property] = STATE(4037), + [sym_PSL_Ocurrence_FL_Property] = STATE(4037), + [sym_PSL_Implication_FL_Property] = STATE(4037), + [sym_PSL_Logical_FL_Property] = STATE(4037), + [sym_PSL_Factor_FL_Property] = STATE(4037), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4037), + [sym__PSL_Extended_Ocurrence_argument] = STATE(4169), + [sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification] = STATE(7083), + [sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification] = STATE(7082), + [sym_PSL_Termination_FL_Property] = STATE(4037), + [sym_PSL_Bounding_FL_Property] = STATE(4037), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4037), + [sym_PSL_Parameterized_Property] = STATE(4037), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4037), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(687), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [51] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(1916), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3856), + [sym_PSL_Built_In_Function_Call] = STATE(3856), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(3937), + [sym_PSL_Sequential_FL_Property] = STATE(3937), + [sym_PSL_Clocked_FL_Property] = STATE(3937), + [sym_PSL_Invariant_FL_Property] = STATE(3937), + [sym_PSL_Ocurrence_FL_Property] = STATE(3937), + [sym_PSL_Implication_FL_Property] = STATE(3937), + [sym_PSL_Logical_FL_Property] = STATE(3937), + [sym_PSL_Factor_FL_Property] = STATE(3937), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(3937), + [sym_PSL_Termination_FL_Property] = STATE(3937), + [sym_PSL_Bounding_FL_Property] = STATE(3937), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(3937), + [sym_PSL_Parameterized_Property] = STATE(3937), + [sym_PSL_Property_Replicator] = STATE(5539), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5539), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(3937), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [52] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(1910), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3838), + [sym_PSL_Built_In_Function_Call] = STATE(3838), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4027), + [sym_PSL_Sequential_FL_Property] = STATE(4027), + [sym_PSL_Clocked_FL_Property] = STATE(4027), + [sym_PSL_Invariant_FL_Property] = STATE(4027), + [sym_PSL_Ocurrence_FL_Property] = STATE(4027), + [sym_PSL_Implication_FL_Property] = STATE(4027), + [sym_PSL_Logical_FL_Property] = STATE(4027), + [sym_PSL_Factor_FL_Property] = STATE(4027), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4027), + [sym_PSL_Termination_FL_Property] = STATE(4027), + [sym_PSL_Bounding_FL_Property] = STATE(4027), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4027), + [sym_PSL_Parameterized_Property] = STATE(4027), + [sym_PSL_Property_Replicator] = STATE(5443), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5443), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4027), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [53] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(1992), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3844), + [sym_PSL_Built_In_Function_Call] = STATE(3844), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(3980), + [sym_PSL_Sequential_FL_Property] = STATE(3980), + [sym_PSL_Clocked_FL_Property] = STATE(3980), + [sym_PSL_Invariant_FL_Property] = STATE(3980), + [sym_PSL_Ocurrence_FL_Property] = STATE(3980), + [sym_PSL_Implication_FL_Property] = STATE(3980), + [sym_PSL_Logical_FL_Property] = STATE(3980), + [sym_PSL_Factor_FL_Property] = STATE(3980), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(3980), + [sym_PSL_Termination_FL_Property] = STATE(3980), + [sym_PSL_Bounding_FL_Property] = STATE(3980), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(3980), + [sym_PSL_Parameterized_Property] = STATE(3980), + [sym_PSL_Property_Replicator] = STATE(5373), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5373), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(3980), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [54] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(1995), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3827), + [sym_PSL_Built_In_Function_Call] = STATE(3827), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4013), + [sym_PSL_Sequential_FL_Property] = STATE(4013), + [sym_PSL_Clocked_FL_Property] = STATE(4013), + [sym_PSL_Invariant_FL_Property] = STATE(4013), + [sym_PSL_Ocurrence_FL_Property] = STATE(4013), + [sym_PSL_Implication_FL_Property] = STATE(4013), + [sym_PSL_Logical_FL_Property] = STATE(4013), + [sym_PSL_Factor_FL_Property] = STATE(4013), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4013), + [sym_PSL_Termination_FL_Property] = STATE(4013), + [sym_PSL_Bounding_FL_Property] = STATE(4013), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4013), + [sym_PSL_Parameterized_Property] = STATE(4013), + [sym_PSL_Property_Replicator] = STATE(5441), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5441), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4013), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [55] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2085), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3906), + [sym_PSL_Built_In_Function_Call] = STATE(3906), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4078), + [sym_PSL_Sequential_FL_Property] = STATE(4078), + [sym_PSL_Clocked_FL_Property] = STATE(4078), + [sym_PSL_Invariant_FL_Property] = STATE(4078), + [sym_PSL_Ocurrence_FL_Property] = STATE(4078), + [sym_PSL_Implication_FL_Property] = STATE(4078), + [sym_PSL_Logical_FL_Property] = STATE(4078), + [sym_PSL_Factor_FL_Property] = STATE(4078), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4078), + [sym_PSL_Termination_FL_Property] = STATE(4078), + [sym_PSL_Bounding_FL_Property] = STATE(4078), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4078), + [sym_PSL_Parameterized_Property] = STATE(4078), + [sym_PSL_Property_Replicator] = STATE(5197), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5197), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4075), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [56] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2058), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3855), + [sym_PSL_Built_In_Function_Call] = STATE(3855), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(3973), + [sym_PSL_Sequential_FL_Property] = STATE(3973), + [sym_PSL_Clocked_FL_Property] = STATE(3973), + [sym_PSL_Invariant_FL_Property] = STATE(3973), + [sym_PSL_Ocurrence_FL_Property] = STATE(3973), + [sym_PSL_Implication_FL_Property] = STATE(3973), + [sym_PSL_Logical_FL_Property] = STATE(3973), + [sym_PSL_Factor_FL_Property] = STATE(3973), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(3973), + [sym_PSL_Termination_FL_Property] = STATE(3973), + [sym_PSL_Bounding_FL_Property] = STATE(3973), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(3973), + [sym_PSL_Parameterized_Property] = STATE(3973), + [sym_PSL_Property_Replicator] = STATE(5367), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5367), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(3973), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [57] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2150), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4028), + [sym_PSL_Built_In_Function_Call] = STATE(4028), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4202), + [sym_PSL_Sequential_FL_Property] = STATE(4202), + [sym_PSL_Clocked_FL_Property] = STATE(4202), + [sym_PSL_Invariant_FL_Property] = STATE(4202), + [sym_PSL_Ocurrence_FL_Property] = STATE(4202), + [sym_PSL_Implication_FL_Property] = STATE(4202), + [sym_PSL_Logical_FL_Property] = STATE(4202), + [sym_PSL_Factor_FL_Property] = STATE(4202), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4202), + [sym_PSL_Termination_FL_Property] = STATE(4202), + [sym_PSL_Bounding_FL_Property] = STATE(4202), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4202), + [sym_PSL_Parameterized_Property] = STATE(4202), + [sym_PSL_Property_Replicator] = STATE(7235), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(7235), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4202), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [58] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2159), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3975), + [sym_PSL_Built_In_Function_Call] = STATE(3975), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4196), + [sym_PSL_Sequential_FL_Property] = STATE(4196), + [sym_PSL_Clocked_FL_Property] = STATE(4196), + [sym_PSL_Invariant_FL_Property] = STATE(4196), + [sym_PSL_Ocurrence_FL_Property] = STATE(4196), + [sym_PSL_Implication_FL_Property] = STATE(4196), + [sym_PSL_Logical_FL_Property] = STATE(4196), + [sym_PSL_Factor_FL_Property] = STATE(4196), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4196), + [sym_PSL_Termination_FL_Property] = STATE(4196), + [sym_PSL_Bounding_FL_Property] = STATE(4196), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4196), + [sym_PSL_Parameterized_Property] = STATE(4196), + [sym_PSL_Property_Replicator] = STATE(6668), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(6668), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4201), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [59] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(1905), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3844), + [sym_PSL_Built_In_Function_Call] = STATE(3844), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(3980), + [sym_PSL_Sequential_FL_Property] = STATE(3980), + [sym_PSL_Clocked_FL_Property] = STATE(3980), + [sym_PSL_Invariant_FL_Property] = STATE(3980), + [sym_PSL_Ocurrence_FL_Property] = STATE(3980), + [sym_PSL_Implication_FL_Property] = STATE(3980), + [sym_PSL_Logical_FL_Property] = STATE(3980), + [sym_PSL_Factor_FL_Property] = STATE(3980), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(3980), + [sym_PSL_Termination_FL_Property] = STATE(3980), + [sym_PSL_Bounding_FL_Property] = STATE(3980), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(3980), + [sym_PSL_Parameterized_Property] = STATE(3980), + [sym_PSL_Property_Replicator] = STATE(5373), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5373), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(3980), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [60] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2173), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3953), + [sym_PSL_Built_In_Function_Call] = STATE(3953), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4090), + [sym_PSL_Sequential_FL_Property] = STATE(4090), + [sym_PSL_Clocked_FL_Property] = STATE(4090), + [sym_PSL_Invariant_FL_Property] = STATE(4090), + [sym_PSL_Ocurrence_FL_Property] = STATE(4090), + [sym_PSL_Implication_FL_Property] = STATE(4090), + [sym_PSL_Logical_FL_Property] = STATE(4090), + [sym_PSL_Factor_FL_Property] = STATE(4090), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4090), + [sym_PSL_Termination_FL_Property] = STATE(4090), + [sym_PSL_Bounding_FL_Property] = STATE(4090), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4090), + [sym_PSL_Parameterized_Property] = STATE(4090), + [sym_PSL_Property_Replicator] = STATE(7341), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(7341), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4089), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [61] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(1982), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3839), + [sym_PSL_Built_In_Function_Call] = STATE(3839), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(3924), + [sym_PSL_Sequential_FL_Property] = STATE(3924), + [sym_PSL_Clocked_FL_Property] = STATE(3924), + [sym_PSL_Invariant_FL_Property] = STATE(3924), + [sym_PSL_Ocurrence_FL_Property] = STATE(3924), + [sym_PSL_Implication_FL_Property] = STATE(3924), + [sym_PSL_Logical_FL_Property] = STATE(3924), + [sym_PSL_Factor_FL_Property] = STATE(3924), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(3924), + [sym_PSL_Termination_FL_Property] = STATE(3924), + [sym_PSL_Bounding_FL_Property] = STATE(3924), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(3924), + [sym_PSL_Parameterized_Property] = STATE(3924), + [sym_PSL_Property_Replicator] = STATE(5435), + [sym_PSL_Instance] = STATE(3826), + [sym__PSL_Ambiguous_Instance] = STATE(5435), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(3924), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(657), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [aux_sym_PSL_Property_Replicator_token1] = ACTIONS(721), + }, + [62] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2078), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3880), + [sym_PSL_Built_In_Function_Call] = STATE(3880), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4082), + [sym_PSL_Sequential_FL_Property] = STATE(4082), + [sym_PSL_Clocked_FL_Property] = STATE(4082), + [sym_PSL_Invariant_FL_Property] = STATE(4082), + [sym_PSL_Ocurrence_FL_Property] = STATE(4082), + [sym_PSL_Implication_FL_Property] = STATE(4082), + [sym_PSL_Logical_FL_Property] = STATE(4082), + [sym_PSL_Factor_FL_Property] = STATE(4082), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4082), + [sym_PSL_Termination_FL_Property] = STATE(4082), + [sym_PSL_Bounding_FL_Property] = STATE(4082), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4082), + [sym_PSL_Parameterized_Property] = STATE(4082), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4082), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [anon_sym_BANG2] = ACTIONS(723), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(725), + }, + [63] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2171), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3982), + [sym_PSL_Built_In_Function_Call] = STATE(3982), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4114), + [sym_PSL_Sequential_FL_Property] = STATE(4114), + [sym_PSL_Clocked_FL_Property] = STATE(4114), + [sym_PSL_Invariant_FL_Property] = STATE(4114), + [sym_PSL_Ocurrence_FL_Property] = STATE(4114), + [sym_PSL_Implication_FL_Property] = STATE(4114), + [sym_PSL_Logical_FL_Property] = STATE(4114), + [sym_PSL_Factor_FL_Property] = STATE(4114), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4114), + [sym_PSL_Termination_FL_Property] = STATE(4114), + [sym_PSL_Bounding_FL_Property] = STATE(4114), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4114), + [sym_PSL_Parameterized_Property] = STATE(4114), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4114), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [anon_sym_BANG2] = ACTIONS(727), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(729), + }, + [64] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2207), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4005), + [sym_PSL_Built_In_Function_Call] = STATE(4005), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4095), + [sym_PSL_Sequential_FL_Property] = STATE(4095), + [sym_PSL_Clocked_FL_Property] = STATE(4095), + [sym_PSL_Invariant_FL_Property] = STATE(4095), + [sym_PSL_Ocurrence_FL_Property] = STATE(4095), + [sym_PSL_Implication_FL_Property] = STATE(4095), + [sym_PSL_Logical_FL_Property] = STATE(4095), + [sym_PSL_Factor_FL_Property] = STATE(4095), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4095), + [sym_PSL_Termination_FL_Property] = STATE(4095), + [sym_PSL_Bounding_FL_Property] = STATE(4095), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4095), + [sym_PSL_Parameterized_Property] = STATE(4095), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4095), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [anon_sym_BANG2] = ACTIONS(731), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(733), + }, + [65] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2090), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3912), + [sym_PSL_Built_In_Function_Call] = STATE(3912), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4086), + [sym_PSL_Sequential_FL_Property] = STATE(4086), + [sym_PSL_Clocked_FL_Property] = STATE(4086), + [sym_PSL_Invariant_FL_Property] = STATE(4086), + [sym_PSL_Ocurrence_FL_Property] = STATE(4086), + [sym_PSL_Implication_FL_Property] = STATE(4086), + [sym_PSL_Logical_FL_Property] = STATE(4086), + [sym_PSL_Factor_FL_Property] = STATE(4086), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4086), + [sym_PSL_Termination_FL_Property] = STATE(4086), + [sym_PSL_Bounding_FL_Property] = STATE(4086), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4086), + [sym_PSL_Parameterized_Property] = STATE(4086), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4086), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [anon_sym_BANG2] = ACTIONS(735), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(737), + }, + [66] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2117), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3901), + [sym_PSL_Built_In_Function_Call] = STATE(3901), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4047), + [sym_PSL_Sequential_FL_Property] = STATE(4047), + [sym_PSL_Clocked_FL_Property] = STATE(4047), + [sym_PSL_Invariant_FL_Property] = STATE(4047), + [sym_PSL_Ocurrence_FL_Property] = STATE(4047), + [sym_PSL_Implication_FL_Property] = STATE(4047), + [sym_PSL_Logical_FL_Property] = STATE(4047), + [sym_PSL_Factor_FL_Property] = STATE(4047), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4047), + [sym_PSL_Termination_FL_Property] = STATE(4047), + [sym_PSL_Bounding_FL_Property] = STATE(4047), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4047), + [sym_PSL_Parameterized_Property] = STATE(4047), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4047), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [anon_sym_BANG2] = ACTIONS(739), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(741), + }, + [67] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2107), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3864), + [sym_PSL_Built_In_Function_Call] = STATE(3864), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4076), + [sym_PSL_Sequential_FL_Property] = STATE(4076), + [sym_PSL_Clocked_FL_Property] = STATE(4076), + [sym_PSL_Invariant_FL_Property] = STATE(4076), + [sym_PSL_Ocurrence_FL_Property] = STATE(4076), + [sym_PSL_Implication_FL_Property] = STATE(4076), + [sym_PSL_Logical_FL_Property] = STATE(4076), + [sym_PSL_Factor_FL_Property] = STATE(4076), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4076), + [sym_PSL_Termination_FL_Property] = STATE(4076), + [sym_PSL_Bounding_FL_Property] = STATE(4076), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4076), + [sym_PSL_Parameterized_Property] = STATE(4076), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4076), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [anon_sym_BANG2] = ACTIONS(743), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(745), + }, + [68] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2093), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3914), + [sym_PSL_Built_In_Function_Call] = STATE(3914), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4073), + [sym_PSL_Sequential_FL_Property] = STATE(4073), + [sym_PSL_Clocked_FL_Property] = STATE(4073), + [sym_PSL_Invariant_FL_Property] = STATE(4073), + [sym_PSL_Ocurrence_FL_Property] = STATE(4073), + [sym_PSL_Implication_FL_Property] = STATE(4073), + [sym_PSL_Logical_FL_Property] = STATE(4073), + [sym_PSL_Factor_FL_Property] = STATE(4073), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4073), + [sym_PSL_Termination_FL_Property] = STATE(4073), + [sym_PSL_Bounding_FL_Property] = STATE(4073), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4073), + [sym_PSL_Parameterized_Property] = STATE(4073), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4073), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(747), + }, + [69] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2083), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3922), + [sym_PSL_Built_In_Function_Call] = STATE(3922), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4074), + [sym_PSL_Sequential_FL_Property] = STATE(4074), + [sym_PSL_Clocked_FL_Property] = STATE(4074), + [sym_PSL_Invariant_FL_Property] = STATE(4074), + [sym_PSL_Ocurrence_FL_Property] = STATE(4074), + [sym_PSL_Implication_FL_Property] = STATE(4074), + [sym_PSL_Logical_FL_Property] = STATE(4074), + [sym_PSL_Factor_FL_Property] = STATE(4074), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4074), + [sym_PSL_Termination_FL_Property] = STATE(4074), + [sym_PSL_Bounding_FL_Property] = STATE(4074), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4074), + [sym_PSL_Parameterized_Property] = STATE(4074), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4074), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(749), + }, + [70] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2110), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3893), + [sym_PSL_Built_In_Function_Call] = STATE(3893), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4061), + [sym_PSL_Sequential_FL_Property] = STATE(4061), + [sym_PSL_Clocked_FL_Property] = STATE(4061), + [sym_PSL_Invariant_FL_Property] = STATE(4061), + [sym_PSL_Ocurrence_FL_Property] = STATE(4061), + [sym_PSL_Implication_FL_Property] = STATE(4061), + [sym_PSL_Logical_FL_Property] = STATE(4061), + [sym_PSL_Factor_FL_Property] = STATE(4061), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4061), + [sym_PSL_Termination_FL_Property] = STATE(4061), + [sym_PSL_Bounding_FL_Property] = STATE(4061), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4061), + [sym_PSL_Parameterized_Property] = STATE(4061), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4061), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(751), + }, + [71] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2109), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3894), + [sym_PSL_Built_In_Function_Call] = STATE(3894), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4043), + [sym_PSL_Sequential_FL_Property] = STATE(4043), + [sym_PSL_Clocked_FL_Property] = STATE(4043), + [sym_PSL_Invariant_FL_Property] = STATE(4043), + [sym_PSL_Ocurrence_FL_Property] = STATE(4043), + [sym_PSL_Implication_FL_Property] = STATE(4043), + [sym_PSL_Logical_FL_Property] = STATE(4043), + [sym_PSL_Factor_FL_Property] = STATE(4043), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4043), + [sym_PSL_Termination_FL_Property] = STATE(4043), + [sym_PSL_Bounding_FL_Property] = STATE(4043), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4043), + [sym_PSL_Parameterized_Property] = STATE(4043), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4043), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(753), + }, + [72] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2138), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4021), + [sym_PSL_Built_In_Function_Call] = STATE(4021), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4180), + [sym_PSL_Sequential_FL_Property] = STATE(4180), + [sym_PSL_Clocked_FL_Property] = STATE(4180), + [sym_PSL_Invariant_FL_Property] = STATE(4180), + [sym_PSL_Ocurrence_FL_Property] = STATE(4180), + [sym_PSL_Implication_FL_Property] = STATE(4180), + [sym_PSL_Logical_FL_Property] = STATE(4180), + [sym_PSL_Factor_FL_Property] = STATE(4180), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4180), + [sym_PSL_Termination_FL_Property] = STATE(4180), + [sym_PSL_Bounding_FL_Property] = STATE(4180), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4180), + [sym_PSL_Parameterized_Property] = STATE(4180), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4180), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(755), + }, + [73] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2139), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4018), + [sym_PSL_Built_In_Function_Call] = STATE(4018), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4179), + [sym_PSL_Sequential_FL_Property] = STATE(4179), + [sym_PSL_Clocked_FL_Property] = STATE(4179), + [sym_PSL_Invariant_FL_Property] = STATE(4179), + [sym_PSL_Ocurrence_FL_Property] = STATE(4179), + [sym_PSL_Implication_FL_Property] = STATE(4179), + [sym_PSL_Logical_FL_Property] = STATE(4179), + [sym_PSL_Factor_FL_Property] = STATE(4179), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4179), + [sym_PSL_Termination_FL_Property] = STATE(4179), + [sym_PSL_Bounding_FL_Property] = STATE(4179), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4179), + [sym_PSL_Parameterized_Property] = STATE(4179), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4179), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + [anon_sym__] = ACTIONS(757), + }, + [74] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2096), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3860), + [sym_PSL_Built_In_Function_Call] = STATE(3860), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4062), + [sym_PSL_Sequential_FL_Property] = STATE(4062), + [sym_PSL_Clocked_FL_Property] = STATE(4062), + [sym_PSL_Invariant_FL_Property] = STATE(4062), + [sym_PSL_Ocurrence_FL_Property] = STATE(4062), + [sym_PSL_Implication_FL_Property] = STATE(4062), + [sym_PSL_Logical_FL_Property] = STATE(4062), + [sym_PSL_Factor_FL_Property] = STATE(4062), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4062), + [sym_PSL_Termination_FL_Property] = STATE(4062), + [sym_PSL_Bounding_FL_Property] = STATE(4062), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4062), + [sym_PSL_Parameterized_Property] = STATE(4062), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4062), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [75] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2086), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3917), + [sym_PSL_Built_In_Function_Call] = STATE(3917), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4084), + [sym_PSL_Sequential_FL_Property] = STATE(4084), + [sym_PSL_Clocked_FL_Property] = STATE(4084), + [sym_PSL_Invariant_FL_Property] = STATE(4084), + [sym_PSL_Ocurrence_FL_Property] = STATE(4084), + [sym_PSL_Implication_FL_Property] = STATE(4084), + [sym_PSL_Logical_FL_Property] = STATE(4084), + [sym_PSL_Factor_FL_Property] = STATE(4084), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4084), + [sym_PSL_Termination_FL_Property] = STATE(4084), + [sym_PSL_Bounding_FL_Property] = STATE(4084), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4084), + [sym_PSL_Parameterized_Property] = STATE(4084), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4084), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [76] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2158), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3943), + [sym_PSL_Built_In_Function_Call] = STATE(3943), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4137), + [sym_PSL_Sequential_FL_Property] = STATE(4137), + [sym_PSL_Clocked_FL_Property] = STATE(4137), + [sym_PSL_Invariant_FL_Property] = STATE(4137), + [sym_PSL_Ocurrence_FL_Property] = STATE(4137), + [sym_PSL_Implication_FL_Property] = STATE(4137), + [sym_PSL_Logical_FL_Property] = STATE(4137), + [sym_PSL_Factor_FL_Property] = STATE(4137), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4137), + [sym_PSL_Termination_FL_Property] = STATE(4137), + [sym_PSL_Bounding_FL_Property] = STATE(4137), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4137), + [sym_PSL_Parameterized_Property] = STATE(4137), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4137), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [77] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2145), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4022), + [sym_PSL_Built_In_Function_Call] = STATE(4022), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4187), + [sym_PSL_Sequential_FL_Property] = STATE(4187), + [sym_PSL_Clocked_FL_Property] = STATE(4187), + [sym_PSL_Invariant_FL_Property] = STATE(4187), + [sym_PSL_Ocurrence_FL_Property] = STATE(4187), + [sym_PSL_Implication_FL_Property] = STATE(4187), + [sym_PSL_Logical_FL_Property] = STATE(4187), + [sym_PSL_Factor_FL_Property] = STATE(4187), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4187), + [sym_PSL_Termination_FL_Property] = STATE(4187), + [sym_PSL_Bounding_FL_Property] = STATE(4187), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4187), + [sym_PSL_Parameterized_Property] = STATE(4187), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4187), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [78] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2079), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3863), + [sym_PSL_Built_In_Function_Call] = STATE(3863), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4072), + [sym_PSL_Sequential_FL_Property] = STATE(4072), + [sym_PSL_Clocked_FL_Property] = STATE(4072), + [sym_PSL_Invariant_FL_Property] = STATE(4072), + [sym_PSL_Ocurrence_FL_Property] = STATE(4072), + [sym_PSL_Implication_FL_Property] = STATE(4072), + [sym_PSL_Logical_FL_Property] = STATE(4072), + [sym_PSL_Factor_FL_Property] = STATE(4072), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4072), + [sym_PSL_Termination_FL_Property] = STATE(4072), + [sym_PSL_Bounding_FL_Property] = STATE(4072), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4072), + [sym_PSL_Parameterized_Property] = STATE(4072), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4072), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [79] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2105), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3918), + [sym_PSL_Built_In_Function_Call] = STATE(3918), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4077), + [sym_PSL_Sequential_FL_Property] = STATE(4077), + [sym_PSL_Clocked_FL_Property] = STATE(4077), + [sym_PSL_Invariant_FL_Property] = STATE(4077), + [sym_PSL_Ocurrence_FL_Property] = STATE(4077), + [sym_PSL_Implication_FL_Property] = STATE(4077), + [sym_PSL_Logical_FL_Property] = STATE(4077), + [sym_PSL_Factor_FL_Property] = STATE(4077), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4077), + [sym_PSL_Termination_FL_Property] = STATE(4077), + [sym_PSL_Bounding_FL_Property] = STATE(4077), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4077), + [sym_PSL_Parameterized_Property] = STATE(4077), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4077), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [80] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2063), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3940), + [sym_PSL_Built_In_Function_Call] = STATE(3940), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4097), + [sym_PSL_Sequential_FL_Property] = STATE(4097), + [sym_PSL_Clocked_FL_Property] = STATE(4097), + [sym_PSL_Invariant_FL_Property] = STATE(4097), + [sym_PSL_Ocurrence_FL_Property] = STATE(4097), + [sym_PSL_Implication_FL_Property] = STATE(4097), + [sym_PSL_Logical_FL_Property] = STATE(4097), + [sym_PSL_Factor_FL_Property] = STATE(4097), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4097), + [sym_PSL_Termination_FL_Property] = STATE(4097), + [sym_PSL_Bounding_FL_Property] = STATE(4097), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4097), + [sym_PSL_Parameterized_Property] = STATE(4097), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4097), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [81] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2156), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3946), + [sym_PSL_Built_In_Function_Call] = STATE(3947), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4141), + [sym_PSL_Sequential_FL_Property] = STATE(4143), + [sym_PSL_Clocked_FL_Property] = STATE(4145), + [sym_PSL_Invariant_FL_Property] = STATE(4146), + [sym_PSL_Ocurrence_FL_Property] = STATE(4087), + [sym_PSL_Implication_FL_Property] = STATE(4149), + [sym_PSL_Logical_FL_Property] = STATE(4150), + [sym_PSL_Factor_FL_Property] = STATE(4153), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4157), + [sym_PSL_Termination_FL_Property] = STATE(4158), + [sym_PSL_Bounding_FL_Property] = STATE(4162), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4163), + [sym_PSL_Parameterized_Property] = STATE(4173), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4174), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [82] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(1978), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3875), + [sym_PSL_Built_In_Function_Call] = STATE(3875), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4051), + [sym_PSL_Sequential_FL_Property] = STATE(4051), + [sym_PSL_Clocked_FL_Property] = STATE(4051), + [sym_PSL_Invariant_FL_Property] = STATE(4051), + [sym_PSL_Ocurrence_FL_Property] = STATE(4051), + [sym_PSL_Implication_FL_Property] = STATE(4051), + [sym_PSL_Logical_FL_Property] = STATE(4051), + [sym_PSL_Factor_FL_Property] = STATE(4051), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4051), + [sym_PSL_Termination_FL_Property] = STATE(4051), + [sym_PSL_Bounding_FL_Property] = STATE(4051), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4051), + [sym_PSL_Parameterized_Property] = STATE(4051), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4051), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [83] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2217), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3942), + [sym_PSL_Built_In_Function_Call] = STATE(3942), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4110), + [sym_PSL_Sequential_FL_Property] = STATE(4110), + [sym_PSL_Clocked_FL_Property] = STATE(4110), + [sym_PSL_Invariant_FL_Property] = STATE(4110), + [sym_PSL_Ocurrence_FL_Property] = STATE(4110), + [sym_PSL_Implication_FL_Property] = STATE(4110), + [sym_PSL_Logical_FL_Property] = STATE(4110), + [sym_PSL_Factor_FL_Property] = STATE(4110), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4110), + [sym_PSL_Termination_FL_Property] = STATE(4110), + [sym_PSL_Bounding_FL_Property] = STATE(4110), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4110), + [sym_PSL_Parameterized_Property] = STATE(4110), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4110), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [84] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2136), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4023), + [sym_PSL_Built_In_Function_Call] = STATE(4023), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4194), + [sym_PSL_Sequential_FL_Property] = STATE(4194), + [sym_PSL_Clocked_FL_Property] = STATE(4194), + [sym_PSL_Invariant_FL_Property] = STATE(4194), + [sym_PSL_Ocurrence_FL_Property] = STATE(4194), + [sym_PSL_Implication_FL_Property] = STATE(4194), + [sym_PSL_Logical_FL_Property] = STATE(4194), + [sym_PSL_Factor_FL_Property] = STATE(4194), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4194), + [sym_PSL_Termination_FL_Property] = STATE(4194), + [sym_PSL_Bounding_FL_Property] = STATE(4194), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4194), + [sym_PSL_Parameterized_Property] = STATE(4194), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4194), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [85] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2232), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3959), + [sym_PSL_Built_In_Function_Call] = STATE(3959), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4168), + [sym_PSL_Sequential_FL_Property] = STATE(4168), + [sym_PSL_Clocked_FL_Property] = STATE(4168), + [sym_PSL_Invariant_FL_Property] = STATE(4168), + [sym_PSL_Ocurrence_FL_Property] = STATE(4168), + [sym_PSL_Implication_FL_Property] = STATE(4168), + [sym_PSL_Logical_FL_Property] = STATE(4168), + [sym_PSL_Factor_FL_Property] = STATE(4168), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4168), + [sym_PSL_Termination_FL_Property] = STATE(4168), + [sym_PSL_Bounding_FL_Property] = STATE(4168), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4168), + [sym_PSL_Parameterized_Property] = STATE(4168), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4168), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [86] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(1891), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3940), + [sym_PSL_Built_In_Function_Call] = STATE(3940), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4097), + [sym_PSL_Sequential_FL_Property] = STATE(4097), + [sym_PSL_Clocked_FL_Property] = STATE(4097), + [sym_PSL_Invariant_FL_Property] = STATE(4097), + [sym_PSL_Ocurrence_FL_Property] = STATE(4097), + [sym_PSL_Implication_FL_Property] = STATE(4097), + [sym_PSL_Logical_FL_Property] = STATE(4097), + [sym_PSL_Factor_FL_Property] = STATE(4097), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4097), + [sym_PSL_Termination_FL_Property] = STATE(4097), + [sym_PSL_Bounding_FL_Property] = STATE(4097), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4097), + [sym_PSL_Parameterized_Property] = STATE(4097), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4097), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(605), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [87] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2109), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3894), + [sym_PSL_Built_In_Function_Call] = STATE(3894), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4043), + [sym_PSL_Sequential_FL_Property] = STATE(4043), + [sym_PSL_Clocked_FL_Property] = STATE(4043), + [sym_PSL_Invariant_FL_Property] = STATE(4043), + [sym_PSL_Ocurrence_FL_Property] = STATE(4043), + [sym_PSL_Implication_FL_Property] = STATE(4043), + [sym_PSL_Logical_FL_Property] = STATE(4043), + [sym_PSL_Factor_FL_Property] = STATE(4043), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4043), + [sym_PSL_Termination_FL_Property] = STATE(4043), + [sym_PSL_Bounding_FL_Property] = STATE(4043), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4043), + [sym_PSL_Parameterized_Property] = STATE(4043), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4043), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [88] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2110), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3893), + [sym_PSL_Built_In_Function_Call] = STATE(3893), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4061), + [sym_PSL_Sequential_FL_Property] = STATE(4061), + [sym_PSL_Clocked_FL_Property] = STATE(4061), + [sym_PSL_Invariant_FL_Property] = STATE(4061), + [sym_PSL_Ocurrence_FL_Property] = STATE(4061), + [sym_PSL_Implication_FL_Property] = STATE(4061), + [sym_PSL_Logical_FL_Property] = STATE(4061), + [sym_PSL_Factor_FL_Property] = STATE(4061), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4061), + [sym_PSL_Termination_FL_Property] = STATE(4061), + [sym_PSL_Bounding_FL_Property] = STATE(4061), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4061), + [sym_PSL_Parameterized_Property] = STATE(4061), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4061), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [89] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2099), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3909), + [sym_PSL_Built_In_Function_Call] = STATE(3909), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4072), + [sym_PSL_Sequential_FL_Property] = STATE(4072), + [sym_PSL_Clocked_FL_Property] = STATE(4072), + [sym_PSL_Invariant_FL_Property] = STATE(4072), + [sym_PSL_Ocurrence_FL_Property] = STATE(4072), + [sym_PSL_Implication_FL_Property] = STATE(4072), + [sym_PSL_Logical_FL_Property] = STATE(4072), + [sym_PSL_Factor_FL_Property] = STATE(4072), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4072), + [sym_PSL_Termination_FL_Property] = STATE(4072), + [sym_PSL_Bounding_FL_Property] = STATE(4072), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4072), + [sym_PSL_Parameterized_Property] = STATE(4072), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4072), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [90] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2049), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4035), + [sym_PSL_Built_In_Function_Call] = STATE(4035), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4100), + [sym_PSL_Sequential_FL_Property] = STATE(4100), + [sym_PSL_Clocked_FL_Property] = STATE(4100), + [sym_PSL_Invariant_FL_Property] = STATE(4100), + [sym_PSL_Ocurrence_FL_Property] = STATE(4100), + [sym_PSL_Implication_FL_Property] = STATE(4100), + [sym_PSL_Logical_FL_Property] = STATE(4100), + [sym_PSL_Factor_FL_Property] = STATE(4100), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4100), + [sym_PSL_Termination_FL_Property] = STATE(4100), + [sym_PSL_Bounding_FL_Property] = STATE(4100), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4100), + [sym_PSL_Parameterized_Property] = STATE(4100), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4100), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [91] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2082), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3919), + [sym_PSL_Built_In_Function_Call] = STATE(3919), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4050), + [sym_PSL_Sequential_FL_Property] = STATE(4050), + [sym_PSL_Clocked_FL_Property] = STATE(4050), + [sym_PSL_Invariant_FL_Property] = STATE(4050), + [sym_PSL_Ocurrence_FL_Property] = STATE(4050), + [sym_PSL_Implication_FL_Property] = STATE(4050), + [sym_PSL_Logical_FL_Property] = STATE(4050), + [sym_PSL_Factor_FL_Property] = STATE(4050), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4050), + [sym_PSL_Termination_FL_Property] = STATE(4050), + [sym_PSL_Bounding_FL_Property] = STATE(4050), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4050), + [sym_PSL_Parameterized_Property] = STATE(4050), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4050), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [92] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2068), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3842), + [sym_PSL_Built_In_Function_Call] = STATE(3842), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4050), + [sym_PSL_Sequential_FL_Property] = STATE(4050), + [sym_PSL_Clocked_FL_Property] = STATE(4050), + [sym_PSL_Invariant_FL_Property] = STATE(4050), + [sym_PSL_Ocurrence_FL_Property] = STATE(4050), + [sym_PSL_Implication_FL_Property] = STATE(4050), + [sym_PSL_Logical_FL_Property] = STATE(4050), + [sym_PSL_Factor_FL_Property] = STATE(4050), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4050), + [sym_PSL_Termination_FL_Property] = STATE(4050), + [sym_PSL_Bounding_FL_Property] = STATE(4050), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4050), + [sym_PSL_Parameterized_Property] = STATE(4050), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4050), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [93] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2113), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3866), + [sym_PSL_Built_In_Function_Call] = STATE(3866), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4070), + [sym_PSL_Sequential_FL_Property] = STATE(4070), + [sym_PSL_Clocked_FL_Property] = STATE(4070), + [sym_PSL_Invariant_FL_Property] = STATE(4070), + [sym_PSL_Ocurrence_FL_Property] = STATE(4070), + [sym_PSL_Implication_FL_Property] = STATE(4070), + [sym_PSL_Logical_FL_Property] = STATE(4070), + [sym_PSL_Factor_FL_Property] = STATE(4070), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4070), + [sym_PSL_Termination_FL_Property] = STATE(4070), + [sym_PSL_Bounding_FL_Property] = STATE(4070), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4070), + [sym_PSL_Parameterized_Property] = STATE(4070), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4070), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [94] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2139), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4018), + [sym_PSL_Built_In_Function_Call] = STATE(4018), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4179), + [sym_PSL_Sequential_FL_Property] = STATE(4179), + [sym_PSL_Clocked_FL_Property] = STATE(4179), + [sym_PSL_Invariant_FL_Property] = STATE(4179), + [sym_PSL_Ocurrence_FL_Property] = STATE(4179), + [sym_PSL_Implication_FL_Property] = STATE(4179), + [sym_PSL_Logical_FL_Property] = STATE(4179), + [sym_PSL_Factor_FL_Property] = STATE(4179), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4179), + [sym_PSL_Termination_FL_Property] = STATE(4179), + [sym_PSL_Bounding_FL_Property] = STATE(4179), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4179), + [sym_PSL_Parameterized_Property] = STATE(4179), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4179), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [95] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2083), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3922), + [sym_PSL_Built_In_Function_Call] = STATE(3922), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4074), + [sym_PSL_Sequential_FL_Property] = STATE(4074), + [sym_PSL_Clocked_FL_Property] = STATE(4074), + [sym_PSL_Invariant_FL_Property] = STATE(4074), + [sym_PSL_Ocurrence_FL_Property] = STATE(4074), + [sym_PSL_Implication_FL_Property] = STATE(4074), + [sym_PSL_Logical_FL_Property] = STATE(4074), + [sym_PSL_Factor_FL_Property] = STATE(4074), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4074), + [sym_PSL_Termination_FL_Property] = STATE(4074), + [sym_PSL_Bounding_FL_Property] = STATE(4074), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4074), + [sym_PSL_Parameterized_Property] = STATE(4074), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4074), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [96] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2046), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3852), + [sym_PSL_Built_In_Function_Call] = STATE(3852), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4050), + [sym_PSL_Sequential_FL_Property] = STATE(4050), + [sym_PSL_Clocked_FL_Property] = STATE(4050), + [sym_PSL_Invariant_FL_Property] = STATE(4050), + [sym_PSL_Ocurrence_FL_Property] = STATE(4050), + [sym_PSL_Implication_FL_Property] = STATE(4050), + [sym_PSL_Logical_FL_Property] = STATE(4050), + [sym_PSL_Factor_FL_Property] = STATE(4050), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4050), + [sym_PSL_Termination_FL_Property] = STATE(4050), + [sym_PSL_Bounding_FL_Property] = STATE(4050), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4050), + [sym_PSL_Parameterized_Property] = STATE(4050), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4050), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [97] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2048), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4035), + [sym_PSL_Built_In_Function_Call] = STATE(4035), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4100), + [sym_PSL_Sequential_FL_Property] = STATE(4100), + [sym_PSL_Clocked_FL_Property] = STATE(4100), + [sym_PSL_Invariant_FL_Property] = STATE(4100), + [sym_PSL_Ocurrence_FL_Property] = STATE(4100), + [sym_PSL_Implication_FL_Property] = STATE(4100), + [sym_PSL_Logical_FL_Property] = STATE(4100), + [sym_PSL_Factor_FL_Property] = STATE(4100), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4100), + [sym_PSL_Termination_FL_Property] = STATE(4100), + [sym_PSL_Bounding_FL_Property] = STATE(4100), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4100), + [sym_PSL_Parameterized_Property] = STATE(4100), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4100), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [98] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2226), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4024), + [sym_PSL_Built_In_Function_Call] = STATE(4024), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4198), + [sym_PSL_Sequential_FL_Property] = STATE(4198), + [sym_PSL_Clocked_FL_Property] = STATE(4198), + [sym_PSL_Invariant_FL_Property] = STATE(4198), + [sym_PSL_Ocurrence_FL_Property] = STATE(4198), + [sym_PSL_Implication_FL_Property] = STATE(4198), + [sym_PSL_Logical_FL_Property] = STATE(4198), + [sym_PSL_Factor_FL_Property] = STATE(4198), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4198), + [sym_PSL_Termination_FL_Property] = STATE(4198), + [sym_PSL_Bounding_FL_Property] = STATE(4198), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4198), + [sym_PSL_Parameterized_Property] = STATE(4198), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4198), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [99] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2138), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4021), + [sym_PSL_Built_In_Function_Call] = STATE(4021), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4180), + [sym_PSL_Sequential_FL_Property] = STATE(4180), + [sym_PSL_Clocked_FL_Property] = STATE(4180), + [sym_PSL_Invariant_FL_Property] = STATE(4180), + [sym_PSL_Ocurrence_FL_Property] = STATE(4180), + [sym_PSL_Implication_FL_Property] = STATE(4180), + [sym_PSL_Logical_FL_Property] = STATE(4180), + [sym_PSL_Factor_FL_Property] = STATE(4180), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4180), + [sym_PSL_Termination_FL_Property] = STATE(4180), + [sym_PSL_Bounding_FL_Property] = STATE(4180), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4180), + [sym_PSL_Parameterized_Property] = STATE(4180), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4180), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [100] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2106), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3861), + [sym_PSL_Built_In_Function_Call] = STATE(3861), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4072), + [sym_PSL_Sequential_FL_Property] = STATE(4072), + [sym_PSL_Clocked_FL_Property] = STATE(4072), + [sym_PSL_Invariant_FL_Property] = STATE(4072), + [sym_PSL_Ocurrence_FL_Property] = STATE(4072), + [sym_PSL_Implication_FL_Property] = STATE(4072), + [sym_PSL_Logical_FL_Property] = STATE(4072), + [sym_PSL_Factor_FL_Property] = STATE(4072), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4072), + [sym_PSL_Termination_FL_Property] = STATE(4072), + [sym_PSL_Bounding_FL_Property] = STATE(4072), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4072), + [sym_PSL_Parameterized_Property] = STATE(4072), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4072), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [101] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2093), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3914), + [sym_PSL_Built_In_Function_Call] = STATE(3914), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4073), + [sym_PSL_Sequential_FL_Property] = STATE(4073), + [sym_PSL_Clocked_FL_Property] = STATE(4073), + [sym_PSL_Invariant_FL_Property] = STATE(4073), + [sym_PSL_Ocurrence_FL_Property] = STATE(4073), + [sym_PSL_Implication_FL_Property] = STATE(4073), + [sym_PSL_Logical_FL_Property] = STATE(4073), + [sym_PSL_Factor_FL_Property] = STATE(4073), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4073), + [sym_PSL_Termination_FL_Property] = STATE(4073), + [sym_PSL_Bounding_FL_Property] = STATE(4073), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4073), + [sym_PSL_Parameterized_Property] = STATE(4073), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4073), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [102] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(1973), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3860), + [sym_PSL_Built_In_Function_Call] = STATE(3860), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4062), + [sym_PSL_Sequential_FL_Property] = STATE(4062), + [sym_PSL_Clocked_FL_Property] = STATE(4062), + [sym_PSL_Invariant_FL_Property] = STATE(4062), + [sym_PSL_Ocurrence_FL_Property] = STATE(4062), + [sym_PSL_Implication_FL_Property] = STATE(4062), + [sym_PSL_Logical_FL_Property] = STATE(4062), + [sym_PSL_Factor_FL_Property] = STATE(4062), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4062), + [sym_PSL_Termination_FL_Property] = STATE(4062), + [sym_PSL_Bounding_FL_Property] = STATE(4062), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4062), + [sym_PSL_Parameterized_Property] = STATE(4062), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4062), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [103] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2092), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3923), + [sym_PSL_Built_In_Function_Call] = STATE(3923), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4081), + [sym_PSL_Sequential_FL_Property] = STATE(4081), + [sym_PSL_Clocked_FL_Property] = STATE(4081), + [sym_PSL_Invariant_FL_Property] = STATE(4081), + [sym_PSL_Ocurrence_FL_Property] = STATE(4081), + [sym_PSL_Implication_FL_Property] = STATE(4081), + [sym_PSL_Logical_FL_Property] = STATE(4081), + [sym_PSL_Factor_FL_Property] = STATE(4081), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4081), + [sym_PSL_Termination_FL_Property] = STATE(4081), + [sym_PSL_Bounding_FL_Property] = STATE(4081), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4081), + [sym_PSL_Parameterized_Property] = STATE(4081), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4081), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [104] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(1893), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4035), + [sym_PSL_Built_In_Function_Call] = STATE(4035), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4100), + [sym_PSL_Sequential_FL_Property] = STATE(4100), + [sym_PSL_Clocked_FL_Property] = STATE(4100), + [sym_PSL_Invariant_FL_Property] = STATE(4100), + [sym_PSL_Ocurrence_FL_Property] = STATE(4100), + [sym_PSL_Implication_FL_Property] = STATE(4100), + [sym_PSL_Logical_FL_Property] = STATE(4100), + [sym_PSL_Factor_FL_Property] = STATE(4100), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4100), + [sym_PSL_Termination_FL_Property] = STATE(4100), + [sym_PSL_Bounding_FL_Property] = STATE(4100), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4100), + [sym_PSL_Parameterized_Property] = STATE(4100), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4100), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(605), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [105] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2115), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3883), + [sym_PSL_Built_In_Function_Call] = STATE(3883), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4058), + [sym_PSL_Sequential_FL_Property] = STATE(4058), + [sym_PSL_Clocked_FL_Property] = STATE(4058), + [sym_PSL_Invariant_FL_Property] = STATE(4058), + [sym_PSL_Ocurrence_FL_Property] = STATE(4058), + [sym_PSL_Implication_FL_Property] = STATE(4058), + [sym_PSL_Logical_FL_Property] = STATE(4058), + [sym_PSL_Factor_FL_Property] = STATE(4058), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4058), + [sym_PSL_Termination_FL_Property] = STATE(4058), + [sym_PSL_Bounding_FL_Property] = STATE(4058), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4058), + [sym_PSL_Parameterized_Property] = STATE(4058), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4058), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [106] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(1892), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4035), + [sym_PSL_Built_In_Function_Call] = STATE(4035), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4100), + [sym_PSL_Sequential_FL_Property] = STATE(4100), + [sym_PSL_Clocked_FL_Property] = STATE(4100), + [sym_PSL_Invariant_FL_Property] = STATE(4100), + [sym_PSL_Ocurrence_FL_Property] = STATE(4100), + [sym_PSL_Implication_FL_Property] = STATE(4100), + [sym_PSL_Logical_FL_Property] = STATE(4100), + [sym_PSL_Factor_FL_Property] = STATE(4100), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4100), + [sym_PSL_Termination_FL_Property] = STATE(4100), + [sym_PSL_Bounding_FL_Property] = STATE(4100), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4100), + [sym_PSL_Parameterized_Property] = STATE(4100), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4100), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(605), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [107] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(1997), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3843), + [sym_PSL_Built_In_Function_Call] = STATE(3843), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4091), + [sym_PSL_Sequential_FL_Property] = STATE(4091), + [sym_PSL_Clocked_FL_Property] = STATE(4091), + [sym_PSL_Invariant_FL_Property] = STATE(4091), + [sym_PSL_Ocurrence_FL_Property] = STATE(4091), + [sym_PSL_Implication_FL_Property] = STATE(4091), + [sym_PSL_Logical_FL_Property] = STATE(4091), + [sym_PSL_Factor_FL_Property] = STATE(4091), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4091), + [sym_PSL_Termination_FL_Property] = STATE(4091), + [sym_PSL_Bounding_FL_Property] = STATE(4091), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4091), + [sym_PSL_Parameterized_Property] = STATE(4091), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4091), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [108] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2045), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3866), + [sym_PSL_Built_In_Function_Call] = STATE(3866), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4070), + [sym_PSL_Sequential_FL_Property] = STATE(4070), + [sym_PSL_Clocked_FL_Property] = STATE(4070), + [sym_PSL_Invariant_FL_Property] = STATE(4070), + [sym_PSL_Ocurrence_FL_Property] = STATE(4070), + [sym_PSL_Implication_FL_Property] = STATE(4070), + [sym_PSL_Logical_FL_Property] = STATE(4070), + [sym_PSL_Factor_FL_Property] = STATE(4070), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4070), + [sym_PSL_Termination_FL_Property] = STATE(4070), + [sym_PSL_Bounding_FL_Property] = STATE(4070), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4070), + [sym_PSL_Parameterized_Property] = STATE(4070), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4070), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [109] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2129), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4035), + [sym_PSL_Built_In_Function_Call] = STATE(4035), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4100), + [sym_PSL_Sequential_FL_Property] = STATE(4100), + [sym_PSL_Clocked_FL_Property] = STATE(4100), + [sym_PSL_Invariant_FL_Property] = STATE(4100), + [sym_PSL_Ocurrence_FL_Property] = STATE(4100), + [sym_PSL_Implication_FL_Property] = STATE(4100), + [sym_PSL_Logical_FL_Property] = STATE(4100), + [sym_PSL_Factor_FL_Property] = STATE(4100), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4100), + [sym_PSL_Termination_FL_Property] = STATE(4100), + [sym_PSL_Bounding_FL_Property] = STATE(4100), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4100), + [sym_PSL_Parameterized_Property] = STATE(4100), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4100), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [110] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(1984), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3849), + [sym_PSL_Built_In_Function_Call] = STATE(3849), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4091), + [sym_PSL_Sequential_FL_Property] = STATE(4091), + [sym_PSL_Clocked_FL_Property] = STATE(4091), + [sym_PSL_Invariant_FL_Property] = STATE(4091), + [sym_PSL_Ocurrence_FL_Property] = STATE(4091), + [sym_PSL_Implication_FL_Property] = STATE(4091), + [sym_PSL_Logical_FL_Property] = STATE(4091), + [sym_PSL_Factor_FL_Property] = STATE(4091), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4091), + [sym_PSL_Termination_FL_Property] = STATE(4091), + [sym_PSL_Bounding_FL_Property] = STATE(4091), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4091), + [sym_PSL_Parameterized_Property] = STATE(4091), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4091), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [111] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2044), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3866), + [sym_PSL_Built_In_Function_Call] = STATE(3866), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4070), + [sym_PSL_Sequential_FL_Property] = STATE(4070), + [sym_PSL_Clocked_FL_Property] = STATE(4070), + [sym_PSL_Invariant_FL_Property] = STATE(4070), + [sym_PSL_Ocurrence_FL_Property] = STATE(4070), + [sym_PSL_Implication_FL_Property] = STATE(4070), + [sym_PSL_Logical_FL_Property] = STATE(4070), + [sym_PSL_Factor_FL_Property] = STATE(4070), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4070), + [sym_PSL_Termination_FL_Property] = STATE(4070), + [sym_PSL_Bounding_FL_Property] = STATE(4070), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4070), + [sym_PSL_Parameterized_Property] = STATE(4070), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4070), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [112] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2118), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3868), + [sym_PSL_Built_In_Function_Call] = STATE(3868), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4065), + [sym_PSL_Sequential_FL_Property] = STATE(4065), + [sym_PSL_Clocked_FL_Property] = STATE(4065), + [sym_PSL_Invariant_FL_Property] = STATE(4065), + [sym_PSL_Ocurrence_FL_Property] = STATE(4065), + [sym_PSL_Implication_FL_Property] = STATE(4065), + [sym_PSL_Logical_FL_Property] = STATE(4065), + [sym_PSL_Factor_FL_Property] = STATE(4065), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4065), + [sym_PSL_Termination_FL_Property] = STATE(4065), + [sym_PSL_Bounding_FL_Property] = STATE(4065), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4065), + [sym_PSL_Parameterized_Property] = STATE(4065), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4065), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [113] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(1981), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3860), + [sym_PSL_Built_In_Function_Call] = STATE(3860), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4062), + [sym_PSL_Sequential_FL_Property] = STATE(4062), + [sym_PSL_Clocked_FL_Property] = STATE(4062), + [sym_PSL_Invariant_FL_Property] = STATE(4062), + [sym_PSL_Ocurrence_FL_Property] = STATE(4062), + [sym_PSL_Implication_FL_Property] = STATE(4062), + [sym_PSL_Logical_FL_Property] = STATE(4062), + [sym_PSL_Factor_FL_Property] = STATE(4062), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4062), + [sym_PSL_Termination_FL_Property] = STATE(4062), + [sym_PSL_Bounding_FL_Property] = STATE(4062), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4062), + [sym_PSL_Parameterized_Property] = STATE(4062), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4062), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [114] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2116), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3873), + [sym_PSL_Built_In_Function_Call] = STATE(3873), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4063), + [sym_PSL_Sequential_FL_Property] = STATE(4063), + [sym_PSL_Clocked_FL_Property] = STATE(4063), + [sym_PSL_Invariant_FL_Property] = STATE(4063), + [sym_PSL_Ocurrence_FL_Property] = STATE(4063), + [sym_PSL_Implication_FL_Property] = STATE(4063), + [sym_PSL_Logical_FL_Property] = STATE(4063), + [sym_PSL_Factor_FL_Property] = STATE(4063), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4063), + [sym_PSL_Termination_FL_Property] = STATE(4063), + [sym_PSL_Bounding_FL_Property] = STATE(4063), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4063), + [sym_PSL_Parameterized_Property] = STATE(4063), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4063), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [115] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2094), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3869), + [sym_PSL_Built_In_Function_Call] = STATE(3869), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4060), + [sym_PSL_Sequential_FL_Property] = STATE(4060), + [sym_PSL_Clocked_FL_Property] = STATE(4060), + [sym_PSL_Invariant_FL_Property] = STATE(4060), + [sym_PSL_Ocurrence_FL_Property] = STATE(4060), + [sym_PSL_Implication_FL_Property] = STATE(4060), + [sym_PSL_Logical_FL_Property] = STATE(4060), + [sym_PSL_Factor_FL_Property] = STATE(4060), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4060), + [sym_PSL_Termination_FL_Property] = STATE(4060), + [sym_PSL_Bounding_FL_Property] = STATE(4060), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4060), + [sym_PSL_Parameterized_Property] = STATE(4060), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4060), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [116] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2149), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3978), + [sym_PSL_Built_In_Function_Call] = STATE(3978), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4129), + [sym_PSL_Sequential_FL_Property] = STATE(4129), + [sym_PSL_Clocked_FL_Property] = STATE(4129), + [sym_PSL_Invariant_FL_Property] = STATE(4129), + [sym_PSL_Ocurrence_FL_Property] = STATE(4129), + [sym_PSL_Implication_FL_Property] = STATE(4129), + [sym_PSL_Logical_FL_Property] = STATE(4129), + [sym_PSL_Factor_FL_Property] = STATE(4129), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4129), + [sym_PSL_Termination_FL_Property] = STATE(4129), + [sym_PSL_Bounding_FL_Property] = STATE(4129), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4129), + [sym_PSL_Parameterized_Property] = STATE(4129), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4129), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [117] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2047), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3858), + [sym_PSL_Built_In_Function_Call] = STATE(3858), + [sym__PSL_Sequence] = STATE(3889), + [sym_PSL_Repeated_SERE] = STATE(3889), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3889), + [sym_PSL_Clocked_SERE] = STATE(3889), + [sym_PSL_Parenthesized_FL_Property] = STATE(4038), + [sym_PSL_Sequential_FL_Property] = STATE(4038), + [sym_PSL_Clocked_FL_Property] = STATE(4038), + [sym_PSL_Invariant_FL_Property] = STATE(4038), + [sym_PSL_Ocurrence_FL_Property] = STATE(4038), + [sym_PSL_Implication_FL_Property] = STATE(4038), + [sym_PSL_Logical_FL_Property] = STATE(4038), + [sym_PSL_Factor_FL_Property] = STATE(4038), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4038), + [sym_PSL_Termination_FL_Property] = STATE(4038), + [sym_PSL_Bounding_FL_Property] = STATE(4038), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4038), + [sym_PSL_Parameterized_Property] = STATE(4038), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3889), + [sym__PSL_Property_Instance] = STATE(4038), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(699), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(707), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(713), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(715), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(715), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(717), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [118] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2097), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3871), + [sym_PSL_Built_In_Function_Call] = STATE(3871), + [sym__PSL_Sequence] = STATE(3884), + [sym_PSL_Repeated_SERE] = STATE(3884), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3884), + [sym_PSL_Clocked_SERE] = STATE(3884), + [sym_PSL_Parenthesized_FL_Property] = STATE(4057), + [sym_PSL_Sequential_FL_Property] = STATE(4057), + [sym_PSL_Clocked_FL_Property] = STATE(4057), + [sym_PSL_Invariant_FL_Property] = STATE(4057), + [sym_PSL_Ocurrence_FL_Property] = STATE(4057), + [sym_PSL_Implication_FL_Property] = STATE(4057), + [sym_PSL_Logical_FL_Property] = STATE(4057), + [sym_PSL_Factor_FL_Property] = STATE(4057), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4057), + [sym_PSL_Termination_FL_Property] = STATE(4057), + [sym_PSL_Bounding_FL_Property] = STATE(4057), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4057), + [sym_PSL_Parameterized_Property] = STATE(4057), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3884), + [sym__PSL_Property_Instance] = STATE(4057), + [sym_basic_identifier] = ACTIONS(655), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(665), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(673), + [sym_extended_identifier] = ACTIONS(675), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(677), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(677), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(679), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [119] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2146), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(3950), + [sym_PSL_Built_In_Function_Call] = STATE(3950), + [sym__PSL_Sequence] = STATE(3998), + [sym_PSL_Repeated_SERE] = STATE(3998), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(3998), + [sym_PSL_Clocked_SERE] = STATE(3998), + [sym_PSL_Parenthesized_FL_Property] = STATE(4091), + [sym_PSL_Sequential_FL_Property] = STATE(4091), + [sym_PSL_Clocked_FL_Property] = STATE(4091), + [sym_PSL_Invariant_FL_Property] = STATE(4091), + [sym_PSL_Ocurrence_FL_Property] = STATE(4091), + [sym_PSL_Implication_FL_Property] = STATE(4091), + [sym_PSL_Logical_FL_Property] = STATE(4091), + [sym_PSL_Factor_FL_Property] = STATE(4091), + [sym_PSL_Extended_Ocurrence_FL_Property] = STATE(4091), + [sym_PSL_Termination_FL_Property] = STATE(4091), + [sym_PSL_Bounding_FL_Property] = STATE(4091), + [sym_PSL_Suffix_Implication_FL_Property] = STATE(4091), + [sym_PSL_Parameterized_Property] = STATE(4091), + [sym_PSL_Instance] = STATE(3832), + [sym__PSL_Sequence_Instance] = STATE(3998), + [sym__PSL_Property_Instance] = STATE(4091), + [sym_basic_identifier] = ACTIONS(587), + [aux_sym_block_configuration_token1] = ACTIONS(589), + [anon_sym_LPAREN] = ACTIONS(591), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(689), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(617), + [sym_extended_identifier] = ACTIONS(619), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(653), + [aux_sym_PSL_Invariant_FL_Property_token1] = ACTIONS(643), + [aux_sym_PSL_Invariant_FL_Property_token2] = ACTIONS(643), + [aux_sym_PSL_Ocurrence_FL_Property_token1] = ACTIONS(645), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token1] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token2] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token3] = ACTIONS(649), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token4] = ACTIONS(647), + [aux_sym_PSL_Extended_Ocurrence_FL_Property_token5] = ACTIONS(647), + }, + [120] = { + [sym_range_attribute_name] = STATE(5630), + [sym_ascending_range] = STATE(5630), + [sym_descending_range] = STATE(5630), + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2859), + [sym_selected_name] = STATE(2859), + [sym_ambiguous_name] = STATE(2836), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2868), + [sym_external_constant_name] = STATE(2880), + [sym_external_signal_name] = STATE(2880), + [sym_external_variable_name] = STATE(2880), + [sym__expr] = STATE(2746), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Expression] = STATE(4511), + [sym_PSL_Built_In_Function_Call] = STATE(4511), + [sym_PSL_Union_Expression] = STATE(5635), + [sym__PSL_SERE] = STATE(5114), + [sym_PSL_Simple_SERE] = STATE(5114), + [sym__PSL_Fusion_SERE] = STATE(5509), + [sym__PSL_Concat_SERE] = STATE(5511), + [sym__PSL_Compound_SERE] = STATE(4605), + [sym_PSL_Compound_SERE_And] = STATE(4605), + [sym_PSL_Compound_SERE_Within] = STATE(4605), + [sym_PSL_Parameterized_SERE] = STATE(4605), + [sym__PSL_Sequence] = STATE(4850), + [sym_PSL_Repeated_SERE] = STATE(4628), + [sym_PSL_Count] = STATE(4618), + [sym__PSL_Range] = STATE(5630), + [sym_PSL_Braced_SERE] = STATE(4628), + [sym_PSL_Clocked_SERE] = STATE(4628), + [sym__PSL_Value_Range] = STATE(5630), + [sym_PSL_Instance] = STATE(5289), + [sym__PSL_Sequence_Instance] = STATE(4850), + [sym_basic_identifier] = ACTIONS(759), + [aux_sym_block_configuration_token1] = ACTIONS(761), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LBRACK] = ACTIONS(767), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(785), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + [anon_sym_LBRACE] = ACTIONS(807), + }, + [121] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2975), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Expression] = STATE(4620), + [sym_PSL_Built_In_Function_Call] = STATE(4620), + [sym__PSL_SERE] = STATE(5049), + [sym_PSL_Simple_SERE] = STATE(5049), + [sym__PSL_Fusion_SERE] = STATE(5509), + [sym__PSL_Concat_SERE] = STATE(5511), + [sym__PSL_Compound_SERE] = STATE(4605), + [sym_PSL_Compound_SERE_And] = STATE(4605), + [sym_PSL_Compound_SERE_Within] = STATE(4605), + [sym_PSL_Parameterized_SERE] = STATE(4605), + [sym__PSL_Sequence] = STATE(4850), + [sym_PSL_Repeated_SERE] = STATE(4628), + [sym_PSL_Count] = STATE(4618), + [sym_PSL_Braced_SERE] = STATE(4628), + [sym_PSL_Clocked_SERE] = STATE(4628), + [sym_PSL_Instance] = STATE(5289), + [sym__PSL_Sequence_Instance] = STATE(4850), + [sym_basic_identifier] = ACTIONS(759), + [aux_sym_block_configuration_token1] = ACTIONS(761), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LBRACK] = ACTIONS(767), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(785), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + [anon_sym_LBRACE] = ACTIONS(807), + }, + [122] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2975), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Expression] = STATE(4620), + [sym_PSL_Built_In_Function_Call] = STATE(4620), + [sym__PSL_SERE] = STATE(5116), + [sym_PSL_Simple_SERE] = STATE(5116), + [sym__PSL_Fusion_SERE] = STATE(5509), + [sym__PSL_Concat_SERE] = STATE(5511), + [sym__PSL_Compound_SERE] = STATE(4605), + [sym_PSL_Compound_SERE_And] = STATE(4605), + [sym_PSL_Compound_SERE_Within] = STATE(4605), + [sym_PSL_Parameterized_SERE] = STATE(4605), + [sym__PSL_Sequence] = STATE(4850), + [sym_PSL_Repeated_SERE] = STATE(4628), + [sym_PSL_Count] = STATE(4618), + [sym_PSL_Braced_SERE] = STATE(4628), + [sym_PSL_Clocked_SERE] = STATE(4628), + [sym_PSL_Instance] = STATE(5289), + [sym__PSL_Sequence_Instance] = STATE(4850), + [sym_basic_identifier] = ACTIONS(759), + [aux_sym_block_configuration_token1] = ACTIONS(761), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LBRACK] = ACTIONS(767), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(785), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + [anon_sym_LBRACE] = ACTIONS(807), + }, + [123] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2975), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Expression] = STATE(4620), + [sym_PSL_Built_In_Function_Call] = STATE(4620), + [sym__PSL_SERE] = STATE(5076), + [sym_PSL_Simple_SERE] = STATE(5076), + [sym__PSL_Fusion_SERE] = STATE(5509), + [sym__PSL_Concat_SERE] = STATE(5511), + [sym__PSL_Compound_SERE] = STATE(4605), + [sym_PSL_Compound_SERE_And] = STATE(4605), + [sym_PSL_Compound_SERE_Within] = STATE(4605), + [sym_PSL_Parameterized_SERE] = STATE(4605), + [sym__PSL_Sequence] = STATE(4850), + [sym_PSL_Repeated_SERE] = STATE(4628), + [sym_PSL_Count] = STATE(4618), + [sym_PSL_Braced_SERE] = STATE(4628), + [sym_PSL_Clocked_SERE] = STATE(4628), + [sym_PSL_Instance] = STATE(5289), + [sym__PSL_Sequence_Instance] = STATE(4850), + [sym_basic_identifier] = ACTIONS(759), + [aux_sym_block_configuration_token1] = ACTIONS(761), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LBRACK] = ACTIONS(767), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(785), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + [anon_sym_LBRACE] = ACTIONS(807), + }, + [124] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2975), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Expression] = STATE(4620), + [sym_PSL_Built_In_Function_Call] = STATE(4620), + [sym__PSL_SERE] = STATE(4982), + [sym_PSL_Simple_SERE] = STATE(4982), + [sym__PSL_Fusion_SERE] = STATE(5509), + [sym__PSL_Concat_SERE] = STATE(5511), + [sym__PSL_Compound_SERE] = STATE(4605), + [sym_PSL_Compound_SERE_And] = STATE(4605), + [sym_PSL_Compound_SERE_Within] = STATE(4605), + [sym_PSL_Parameterized_SERE] = STATE(4605), + [sym__PSL_Sequence] = STATE(4850), + [sym_PSL_Repeated_SERE] = STATE(4628), + [sym_PSL_Count] = STATE(4618), + [sym_PSL_Braced_SERE] = STATE(4628), + [sym_PSL_Clocked_SERE] = STATE(4628), + [sym_PSL_Instance] = STATE(5289), + [sym__PSL_Sequence_Instance] = STATE(4850), + [sym_basic_identifier] = ACTIONS(759), + [aux_sym_block_configuration_token1] = ACTIONS(761), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LBRACK] = ACTIONS(767), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(785), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + [anon_sym_LBRACE] = ACTIONS(807), + }, + [125] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2975), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Expression] = STATE(4620), + [sym_PSL_Built_In_Function_Call] = STATE(4620), + [sym__PSL_SERE] = STATE(5117), + [sym_PSL_Simple_SERE] = STATE(5117), + [sym__PSL_Fusion_SERE] = STATE(5509), + [sym__PSL_Concat_SERE] = STATE(5511), + [sym__PSL_Compound_SERE] = STATE(4605), + [sym_PSL_Compound_SERE_And] = STATE(4605), + [sym_PSL_Compound_SERE_Within] = STATE(4605), + [sym_PSL_Parameterized_SERE] = STATE(4605), + [sym__PSL_Sequence] = STATE(4850), + [sym_PSL_Repeated_SERE] = STATE(4628), + [sym_PSL_Count] = STATE(4618), + [sym_PSL_Braced_SERE] = STATE(4628), + [sym_PSL_Clocked_SERE] = STATE(4628), + [sym_PSL_Instance] = STATE(5289), + [sym__PSL_Sequence_Instance] = STATE(4850), + [sym_basic_identifier] = ACTIONS(759), + [aux_sym_block_configuration_token1] = ACTIONS(761), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LBRACK] = ACTIONS(767), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(785), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + [anon_sym_LBRACE] = ACTIONS(807), + }, + [126] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2975), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Expression] = STATE(4620), + [sym_PSL_Built_In_Function_Call] = STATE(4620), + [sym__PSL_SERE] = STATE(5114), + [sym_PSL_Simple_SERE] = STATE(5114), + [sym__PSL_Fusion_SERE] = STATE(5509), + [sym__PSL_Concat_SERE] = STATE(5511), + [sym__PSL_Compound_SERE] = STATE(4605), + [sym_PSL_Compound_SERE_And] = STATE(4605), + [sym_PSL_Compound_SERE_Within] = STATE(4605), + [sym_PSL_Parameterized_SERE] = STATE(4605), + [sym__PSL_Sequence] = STATE(4850), + [sym_PSL_Repeated_SERE] = STATE(4628), + [sym_PSL_Count] = STATE(4618), + [sym_PSL_Braced_SERE] = STATE(4628), + [sym_PSL_Clocked_SERE] = STATE(4628), + [sym_PSL_Instance] = STATE(5289), + [sym__PSL_Sequence_Instance] = STATE(4850), + [sym_basic_identifier] = ACTIONS(759), + [aux_sym_block_configuration_token1] = ACTIONS(761), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LBRACK] = ACTIONS(767), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(785), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + [anon_sym_LBRACE] = ACTIONS(807), + }, + [127] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2975), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Expression] = STATE(4620), + [sym_PSL_Built_In_Function_Call] = STATE(4620), + [sym__PSL_SERE] = STATE(4851), + [sym_PSL_Simple_SERE] = STATE(4851), + [sym__PSL_Fusion_SERE] = STATE(5509), + [sym__PSL_Concat_SERE] = STATE(5511), + [sym__PSL_Compound_SERE] = STATE(4605), + [sym_PSL_Compound_SERE_And] = STATE(4605), + [sym_PSL_Compound_SERE_Within] = STATE(4605), + [sym_PSL_Parameterized_SERE] = STATE(4605), + [sym__PSL_Sequence] = STATE(4850), + [sym_PSL_Repeated_SERE] = STATE(4628), + [sym_PSL_Count] = STATE(4618), + [sym_PSL_Braced_SERE] = STATE(4628), + [sym_PSL_Clocked_SERE] = STATE(4628), + [sym_PSL_Instance] = STATE(5289), + [sym__PSL_Sequence_Instance] = STATE(4850), + [sym_basic_identifier] = ACTIONS(759), + [aux_sym_block_configuration_token1] = ACTIONS(761), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LBRACK] = ACTIONS(767), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(785), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + [anon_sym_LBRACE] = ACTIONS(807), + }, + [128] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2975), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Expression] = STATE(4620), + [sym_PSL_Built_In_Function_Call] = STATE(4620), + [sym__PSL_SERE] = STATE(5023), + [sym_PSL_Simple_SERE] = STATE(5023), + [sym__PSL_Fusion_SERE] = STATE(5509), + [sym__PSL_Concat_SERE] = STATE(5511), + [sym__PSL_Compound_SERE] = STATE(4605), + [sym_PSL_Compound_SERE_And] = STATE(4605), + [sym_PSL_Compound_SERE_Within] = STATE(4605), + [sym_PSL_Parameterized_SERE] = STATE(4605), + [sym__PSL_Sequence] = STATE(4850), + [sym_PSL_Repeated_SERE] = STATE(4628), + [sym_PSL_Count] = STATE(4618), + [sym_PSL_Braced_SERE] = STATE(4628), + [sym_PSL_Clocked_SERE] = STATE(4628), + [sym_PSL_Instance] = STATE(5289), + [sym__PSL_Sequence_Instance] = STATE(4850), + [sym_basic_identifier] = ACTIONS(759), + [aux_sym_block_configuration_token1] = ACTIONS(761), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LBRACK] = ACTIONS(767), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(785), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + [anon_sym_LBRACE] = ACTIONS(807), + }, + [129] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym__sequential_statement] = STATE(129), + [sym_wait_statement] = STATE(129), + [sym_assertion_statement] = STATE(129), + [sym_report_statement] = STATE(129), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(129), + [sym_simple_force_assignment] = STATE(129), + [sym_simple_release_assignment] = STATE(129), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(129), + [sym_conditional_force_assignment] = STATE(129), + [sym_selected_waveform_assignment] = STATE(129), + [sym_selected_force_assignment] = STATE(129), + [sym_simple_variable_assignment] = STATE(129), + [sym_conditional_variable_assignment] = STATE(129), + [sym_selected_variable_assignment] = STATE(129), + [sym_procedure_call_statement] = STATE(129), + [sym_if_statement] = STATE(129), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(129), + [sym_loop_statement] = STATE(129), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(129), + [sym_exit_statement] = STATE(129), + [sym_return_statement] = STATE(129), + [sym_null_statement] = STATE(129), + [sym_process_statement] = STATE(129), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(129), + [sym_PSL_Clock_Declaration] = STATE(129), + [sym_PSL_Property_Declaration] = STATE(129), + [sym_PSL_Sequence_Declaration] = STATE(129), + [sym__PSL_Directive] = STATE(129), + [sym_PSL_Assert_Directive] = STATE(129), + [sym_PSL_Assume_Directive] = STATE(129), + [sym_PSL_Assume_Guarantee_Directive] = STATE(129), + [sym_PSL_Restrict_Directive] = STATE(129), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(129), + [sym_PSL_Cover_Directive] = STATE(129), + [sym_PSL_Fairness_Directive] = STATE(129), + [sym_PSL_Strong_Fairness_Directive] = STATE(129), + [aux_sym_sequence_of_statements_repeat1] = STATE(129), + [sym_basic_identifier] = ACTIONS(809), + [aux_sym_entity_declaration_token4] = ACTIONS(812), + [aux_sym_block_configuration_token1] = ACTIONS(814), + [anon_sym_LPAREN] = ACTIONS(817), + [aux_sym_return_token1] = ACTIONS(820), + [aux_sym_default_token1] = ACTIONS(823), + [aux_sym_entity_class_token3] = ACTIONS(826), + [aux_sym_entity_class_token4] = ACTIONS(829), + [anon_sym_LT_LT] = ACTIONS(832), + [aux_sym_null_token1] = ACTIONS(835), + [aux_sym_wait_statement_token1] = ACTIONS(838), + [aux_sym_assertion_statement_token1] = ACTIONS(841), + [aux_sym_assertion_statement_token2] = ACTIONS(844), + [aux_sym__report_token1] = ACTIONS(847), + [aux_sym__when_clause_token1] = ACTIONS(812), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(812), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(850), + [aux_sym_if_statement_token1] = ACTIONS(853), + [aux_sym_elsif_token1] = ACTIONS(812), + [aux_sym_case_statement_token1] = ACTIONS(856), + [aux_sym_loop_statement_token1] = ACTIONS(859), + [aux_sym_while_loop_token1] = ACTIONS(862), + [aux_sym_next_statement_token1] = ACTIONS(865), + [aux_sym_exit_statement_token1] = ACTIONS(868), + [aux_sym_process_statement_token1] = ACTIONS(871), + [sym_extended_identifier] = ACTIONS(874), + [aux_sym_character_literal_token1] = ACTIONS(877), + [aux_sym_character_literal_token2] = ACTIONS(880), + [aux_sym_string_literal_token1] = ACTIONS(883), + [aux_sym_string_literal_token2] = ACTIONS(886), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(889), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(892), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(895), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(898), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(901), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(904), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(907), + }, + [130] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym__sequential_statement] = STATE(129), + [sym_wait_statement] = STATE(129), + [sym_assertion_statement] = STATE(129), + [sym_report_statement] = STATE(129), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(129), + [sym_simple_force_assignment] = STATE(129), + [sym_simple_release_assignment] = STATE(129), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(129), + [sym_conditional_force_assignment] = STATE(129), + [sym_selected_waveform_assignment] = STATE(129), + [sym_selected_force_assignment] = STATE(129), + [sym_simple_variable_assignment] = STATE(129), + [sym_conditional_variable_assignment] = STATE(129), + [sym_selected_variable_assignment] = STATE(129), + [sym_procedure_call_statement] = STATE(129), + [sym_if_statement] = STATE(129), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(129), + [sym_loop_statement] = STATE(129), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(129), + [sym_exit_statement] = STATE(129), + [sym_return_statement] = STATE(129), + [sym_null_statement] = STATE(129), + [sym_process_statement] = STATE(129), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(129), + [sym_PSL_Clock_Declaration] = STATE(129), + [sym_PSL_Property_Declaration] = STATE(129), + [sym_PSL_Sequence_Declaration] = STATE(129), + [sym__PSL_Directive] = STATE(129), + [sym_PSL_Assert_Directive] = STATE(129), + [sym_PSL_Assume_Directive] = STATE(129), + [sym_PSL_Assume_Guarantee_Directive] = STATE(129), + [sym_PSL_Restrict_Directive] = STATE(129), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(129), + [sym_PSL_Cover_Directive] = STATE(129), + [sym_PSL_Fairness_Directive] = STATE(129), + [sym_PSL_Strong_Fairness_Directive] = STATE(129), + [aux_sym_sequence_of_statements_repeat1] = STATE(129), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(910), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym__when_clause_token1] = ACTIONS(910), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(910), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_elsif_token1] = ACTIONS(910), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [131] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(5628), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(932), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(932), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_elsif_token1] = ACTIONS(932), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [132] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(5558), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(934), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(934), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_elsif_token1] = ACTIONS(934), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [133] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3117), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5191), + [sym_PSL_Built_In_Function_Call] = STATE(5191), + [sym__PSL_Compound_SERE] = STATE(4550), + [sym_PSL_Compound_SERE_And] = STATE(4550), + [sym_PSL_Compound_SERE_Within] = STATE(4550), + [sym_PSL_Parameterized_SERE] = STATE(4550), + [sym__PSL_Sequence] = STATE(5734), + [sym_PSL_Repeated_SERE] = STATE(4671), + [sym_PSL_Count] = STATE(4618), + [sym_PSL_Braced_SERE] = STATE(4671), + [sym_PSL_Clocked_SERE] = STATE(4671), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5734), + [sym_basic_identifier] = ACTIONS(936), + [aux_sym_block_configuration_token1] = ACTIONS(761), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(767), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(807), + }, + [134] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3117), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5191), + [sym_PSL_Built_In_Function_Call] = STATE(5191), + [sym__PSL_Compound_SERE] = STATE(4548), + [sym_PSL_Compound_SERE_And] = STATE(4548), + [sym_PSL_Compound_SERE_Within] = STATE(4548), + [sym_PSL_Parameterized_SERE] = STATE(4548), + [sym__PSL_Sequence] = STATE(5734), + [sym_PSL_Repeated_SERE] = STATE(4671), + [sym_PSL_Count] = STATE(4618), + [sym_PSL_Braced_SERE] = STATE(4671), + [sym_PSL_Clocked_SERE] = STATE(4671), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5734), + [sym_basic_identifier] = ACTIONS(936), + [aux_sym_block_configuration_token1] = ACTIONS(761), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(767), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(807), + }, + [135] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(5907), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(942), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym__when_clause_token1] = ACTIONS(942), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [136] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(7066), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(944), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [137] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6308), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(946), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [138] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(7241), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(948), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [139] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(7051), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(950), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [140] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6947), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(952), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [141] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(7092), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(954), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [142] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6976), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(956), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [143] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6656), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(958), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [144] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6613), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(960), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [145] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6999), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(962), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [146] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(7104), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(964), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [147] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6983), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(966), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [148] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6530), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(968), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [149] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(7111), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(970), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [150] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6863), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(972), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [151] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6468), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(974), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [152] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(7028), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(976), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [153] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(5968), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(978), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [154] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6462), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(980), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [155] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6090), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(982), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [156] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(7037), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(984), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [157] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6669), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(986), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [158] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6666), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(988), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [159] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6741), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(990), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [160] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6827), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(992), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [161] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(7007), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(994), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [162] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6412), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(996), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [163] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(7045), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(998), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [164] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6615), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(1000), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [165] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(6740), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(1002), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [166] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4344), + [sym_selected_name] = STATE(4344), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_sequence_of_statements] = STATE(7080), + [sym__sequential_statement] = STATE(130), + [sym_wait_statement] = STATE(130), + [sym_assertion_statement] = STATE(130), + [sym_report_statement] = STATE(130), + [sym__report] = STATE(5454), + [sym_simple_waveform_assignment] = STATE(130), + [sym_simple_force_assignment] = STATE(130), + [sym_simple_release_assignment] = STATE(130), + [sym__target] = STATE(5763), + [sym_conditional_waveform_assignment] = STATE(130), + [sym_conditional_force_assignment] = STATE(130), + [sym_selected_waveform_assignment] = STATE(130), + [sym_selected_force_assignment] = STATE(130), + [sym_simple_variable_assignment] = STATE(130), + [sym_conditional_variable_assignment] = STATE(130), + [sym_selected_variable_assignment] = STATE(130), + [sym_procedure_call_statement] = STATE(130), + [sym_if_statement] = STATE(130), + [sym_if] = STATE(4694), + [sym_case_statement] = STATE(130), + [sym_loop_statement] = STATE(130), + [sym_while_loop] = STATE(7154), + [sym_for_loop] = STATE(7154), + [sym_next_statement] = STATE(130), + [sym_exit_statement] = STATE(130), + [sym_return_statement] = STATE(130), + [sym_null_statement] = STATE(130), + [sym_process_statement] = STATE(130), + [sym_label] = STATE(2882), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(130), + [sym_PSL_Clock_Declaration] = STATE(130), + [sym_PSL_Property_Declaration] = STATE(130), + [sym_PSL_Sequence_Declaration] = STATE(130), + [sym__PSL_Directive] = STATE(130), + [sym_PSL_Assert_Directive] = STATE(130), + [sym_PSL_Assume_Directive] = STATE(130), + [sym_PSL_Assume_Guarantee_Directive] = STATE(130), + [sym_PSL_Restrict_Directive] = STATE(130), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(130), + [sym_PSL_Cover_Directive] = STATE(130), + [sym_PSL_Fairness_Directive] = STATE(130), + [sym_PSL_Strong_Fairness_Directive] = STATE(130), + [aux_sym_sequence_of_statements_repeat1] = STATE(130), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token4] = ACTIONS(1004), + [aux_sym_block_configuration_token1] = ACTIONS(912), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_return_token1] = ACTIONS(914), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_null_token1] = ACTIONS(916), + [aux_sym_wait_statement_token1] = ACTIONS(918), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__report_token1] = ACTIONS(75), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(920), + [aux_sym_if_statement_token1] = ACTIONS(922), + [aux_sym_case_statement_token1] = ACTIONS(924), + [aux_sym_loop_statement_token1] = ACTIONS(926), + [aux_sym_while_loop_token1] = ACTIONS(85), + [aux_sym_next_statement_token1] = ACTIONS(928), + [aux_sym_exit_statement_token1] = ACTIONS(930), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [167] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7167), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(320), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1008), + [aux_sym_entity_declaration_token3] = ACTIONS(1010), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [anon_sym_LPAREN] = ACTIONS(1014), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [168] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7058), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(333), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1026), + [aux_sym_entity_declaration_token3] = ACTIONS(1028), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [anon_sym_LPAREN] = ACTIONS(1030), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [169] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7187), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(364), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1032), + [aux_sym_entity_declaration_token3] = ACTIONS(1034), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [anon_sym_LPAREN] = ACTIONS(1036), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [170] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7223), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(269), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1038), + [aux_sym_entity_declaration_token3] = ACTIONS(1040), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [anon_sym_LPAREN] = ACTIONS(1042), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [171] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7211), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(283), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1044), + [aux_sym_entity_declaration_token3] = ACTIONS(1046), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [172] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6194), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(322), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1048), + [aux_sym_entity_declaration_token3] = ACTIONS(1050), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [173] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6553), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(285), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1052), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1054), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [174] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(5768), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(253), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1056), + [aux_sym_entity_declaration_token4] = ACTIONS(1058), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [175] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5169), + [sym_PSL_Repeated_SERE] = STATE(5169), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5169), + [sym_PSL_Clocked_SERE] = STATE(5169), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5169), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [176] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5342), + [sym_PSL_Repeated_SERE] = STATE(5342), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5342), + [sym_PSL_Clocked_SERE] = STATE(5342), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5342), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [177] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5168), + [sym_PSL_Repeated_SERE] = STATE(5168), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5168), + [sym_PSL_Clocked_SERE] = STATE(5168), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5168), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [178] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6550), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(286), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1062), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1064), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [179] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5442), + [sym_PSL_Repeated_SERE] = STATE(5442), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5442), + [sym_PSL_Clocked_SERE] = STATE(5442), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5442), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [180] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6491), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(356), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1066), + [aux_sym_entity_declaration_token3] = ACTIONS(1068), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [181] = { + [sym_range_attribute_name] = STATE(7256), + [sym_ascending_range] = STATE(7256), + [sym_descending_range] = STATE(7256), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3142), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym__PSL_Number] = STATE(7256), + [sym_PSL_Built_In_Function_Call] = STATE(7256), + [sym__PSL_Range] = STATE(7256), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_RBRACK] = ACTIONS(1076), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1086), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_PLUS2] = ACTIONS(1104), + [anon_sym_STAR2] = ACTIONS(1104), + [anon_sym_EQ3] = ACTIONS(1104), + [anon_sym_DASH_GT2] = ACTIONS(1104), + }, + [182] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(5765), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(255), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1106), + [aux_sym_entity_declaration_token4] = ACTIONS(1108), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [183] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5434), + [sym_PSL_Repeated_SERE] = STATE(5434), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5434), + [sym_PSL_Clocked_SERE] = STATE(5434), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5434), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [184] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5603), + [sym_PSL_Repeated_SERE] = STATE(5603), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5603), + [sym_PSL_Clocked_SERE] = STATE(5603), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5603), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [185] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5351), + [sym_PSL_Repeated_SERE] = STATE(5351), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5351), + [sym_PSL_Clocked_SERE] = STATE(5351), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5351), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1110), + }, + [186] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5048), + [sym_PSL_Repeated_SERE] = STATE(5048), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5048), + [sym_PSL_Clocked_SERE] = STATE(5048), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5048), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [187] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5180), + [sym_PSL_Repeated_SERE] = STATE(5180), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5180), + [sym_PSL_Clocked_SERE] = STATE(5180), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5180), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [188] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5379), + [sym_PSL_Repeated_SERE] = STATE(5379), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5379), + [sym_PSL_Clocked_SERE] = STATE(5379), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5379), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [189] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5177), + [sym_PSL_Repeated_SERE] = STATE(5177), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5177), + [sym_PSL_Clocked_SERE] = STATE(5177), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5177), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [190] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5047), + [sym_PSL_Repeated_SERE] = STATE(5047), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5047), + [sym_PSL_Clocked_SERE] = STATE(5047), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5047), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [191] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5544), + [sym_PSL_Repeated_SERE] = STATE(5544), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5544), + [sym_PSL_Clocked_SERE] = STATE(5544), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5544), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [192] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(4888), + [sym_PSL_Repeated_SERE] = STATE(4888), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(4888), + [sym_PSL_Clocked_SERE] = STATE(4888), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(4888), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [193] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5624), + [sym_PSL_Repeated_SERE] = STATE(5624), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5624), + [sym_PSL_Clocked_SERE] = STATE(5624), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5624), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1110), + }, + [194] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6879), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(276), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1112), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1114), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [195] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6878), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(277), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1116), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1118), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [196] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5673), + [sym_PSL_Repeated_SERE] = STATE(5673), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5673), + [sym_PSL_Clocked_SERE] = STATE(5673), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5673), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [197] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(4890), + [sym_PSL_Repeated_SERE] = STATE(4890), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(4890), + [sym_PSL_Clocked_SERE] = STATE(4890), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(4890), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [198] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7225), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(266), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1120), + [aux_sym_entity_declaration_token3] = ACTIONS(1122), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [199] = { + [sym_range_attribute_name] = STATE(7052), + [sym_ascending_range] = STATE(7052), + [sym_descending_range] = STATE(7052), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3142), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym__PSL_Number] = STATE(7052), + [sym_PSL_Built_In_Function_Call] = STATE(7052), + [sym__PSL_Range] = STATE(7052), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_RBRACK] = ACTIONS(1124), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1086), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_PLUS2] = ACTIONS(1126), + [anon_sym_STAR2] = ACTIONS(1126), + [anon_sym_EQ3] = ACTIONS(1126), + [anon_sym_DASH_GT2] = ACTIONS(1126), + }, + [200] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3075), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5247), + [sym_PSL_Built_In_Function_Call] = STATE(5247), + [sym__PSL_Sequence] = STATE(5366), + [sym_PSL_Repeated_SERE] = STATE(5366), + [sym_PSL_Count] = STATE(3825), + [sym_PSL_Braced_SERE] = STATE(5366), + [sym_PSL_Clocked_SERE] = STATE(5366), + [sym_PSL_Instance] = STATE(5249), + [sym__PSL_Sequence_Instance] = STATE(5366), + [sym_basic_identifier] = ACTIONS(936), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LBRACK] = ACTIONS(595), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(940), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1060), + }, + [201] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7230), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(264), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1128), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [202] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6688), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(336), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1130), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [203] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7187), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(364), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1034), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [204] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7220), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(268), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1132), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [205] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6249), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(371), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1134), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [206] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6018), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(318), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1136), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [207] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7058), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(333), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1028), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [208] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7207), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_generic_clause] = STATE(3335), + [sym_port_clause] = STATE(3335), + [sym_generic_map_aspect] = STATE(3335), + [sym_port_map_aspect] = STATE(3335), + [sym__header] = STATE(291), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1138), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_generic_clause_token1] = ACTIONS(1016), + [aux_sym_port_clause_token1] = ACTIONS(1018), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [209] = { + [sym_range_attribute_name] = STATE(5903), + [sym_ascending_range] = STATE(5903), + [sym_descending_range] = STATE(5903), + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2900), + [sym_selected_name] = STATE(2900), + [sym_ambiguous_name] = STATE(2899), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(2974), + [sym_external_constant_name] = STATE(2976), + [sym_external_signal_name] = STATE(2976), + [sym_external_variable_name] = STATE(2976), + [sym__expr] = STATE(2986), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5105), + [sym_PSL_Built_In_Function_Call] = STATE(5105), + [sym_PSL_Union_Expression] = STATE(5635), + [sym__PSL_Range] = STATE(5903), + [sym__PSL_Value_Range] = STATE(5903), + [sym_basic_identifier] = ACTIONS(1140), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [210] = { + [sym_range_attribute_name] = STATE(5630), + [sym_ascending_range] = STATE(5630), + [sym_descending_range] = STATE(5630), + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2900), + [sym_selected_name] = STATE(2900), + [sym_ambiguous_name] = STATE(2899), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(2974), + [sym_external_constant_name] = STATE(2976), + [sym_external_signal_name] = STATE(2976), + [sym_external_variable_name] = STATE(2976), + [sym__expr] = STATE(2986), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5105), + [sym_PSL_Built_In_Function_Call] = STATE(5105), + [sym_PSL_Union_Expression] = STATE(5635), + [sym__PSL_Range] = STATE(5630), + [sym__PSL_Value_Range] = STATE(5630), + [sym_basic_identifier] = ACTIONS(1140), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [211] = { + [sym_range_attribute_name] = STATE(7307), + [sym_ascending_range] = STATE(7307), + [sym_descending_range] = STATE(7307), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3848), + [sym_parenthesized_resolution] = STATE(3848), + [sym_record_resolution] = STATE(3848), + [sym_record_element_resolution] = STATE(5561), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(620), + [sym_selected_name] = STATE(2413), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(7314), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1156), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [212] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3848), + [sym_parenthesized_resolution] = STATE(3848), + [sym_record_resolution] = STATE(3848), + [sym_record_element_resolution] = STATE(5561), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(620), + [sym_selected_name] = STATE(2413), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1156), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [213] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym_group_constituent_list] = STATE(6686), + [sym__simple_name] = STATE(2344), + [sym_selected_name] = STATE(2344), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3443), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1166), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [214] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(3056), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5082), + [sym_PSL_Built_In_Function_Call] = STATE(5082), + [sym_PSL_Union_Expression] = STATE(5482), + [sym_PSL_Value_Set] = STATE(5834), + [sym_boolean] = STATE(5615), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1170), + [aux_sym_boolean_token1] = ACTIONS(651), + }, + [215] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym_group_constituent_list] = STATE(6685), + [sym__simple_name] = STATE(2344), + [sym_selected_name] = STATE(2344), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3443), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1166), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [216] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym_group_constituent_list] = STATE(6325), + [sym__simple_name] = STATE(2344), + [sym_selected_name] = STATE(2344), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3443), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1166), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [217] = { + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6486), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym_default] = STATE(6486), + [sym__any] = STATE(6486), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2818), + [sym_selected_name] = STATE(2818), + [sym_ambiguous_name] = STATE(3070), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3071), + [sym_external_constant_name] = STATE(3156), + [sym_external_signal_name] = STATE(3156), + [sym_external_variable_name] = STATE(3156), + [sym__expr] = STATE(3207), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1172), + [anon_sym_LPAREN] = ACTIONS(1158), + [anon_sym_RPAREN] = ACTIONS(1174), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_GT] = ACTIONS(1176), + [aux_sym_default_token1] = ACTIONS(1178), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [218] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2993), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4698), + [sym_PSL_Built_In_Function_Call] = STATE(4698), + [sym_PSL_Union_Expression] = STATE(5333), + [sym_PSL_Value_Set] = STATE(5349), + [sym_boolean] = STATE(5615), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1170), + [aux_sym_boolean_token1] = ACTIONS(651), + }, + [219] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2997), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4842), + [sym_PSL_Built_In_Function_Call] = STATE(4842), + [sym_PSL_Union_Expression] = STATE(5291), + [sym_PSL_Value_Set] = STATE(5614), + [sym_boolean] = STATE(5615), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + [anon_sym_LBRACE] = ACTIONS(1170), + [aux_sym_boolean_token1] = ACTIONS(651), + }, + [220] = { + [sym_range_attribute_name] = STATE(6820), + [sym_ascending_range] = STATE(6820), + [sym_descending_range] = STATE(6820), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3142), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym__PSL_Number] = STATE(6820), + [sym_PSL_Built_In_Function_Call] = STATE(6820), + [sym__PSL_Range] = STATE(6820), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_RBRACK] = ACTIONS(1180), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [221] = { + [sym_range_attribute_name] = STATE(7338), + [sym_ascending_range] = STATE(7338), + [sym_descending_range] = STATE(7338), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3142), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym__PSL_Number] = STATE(7338), + [sym_PSL_Built_In_Function_Call] = STATE(7338), + [sym__PSL_Range] = STATE(7338), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_RBRACK] = ACTIONS(1182), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [222] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym_group_constituent_list] = STATE(6327), + [sym__simple_name] = STATE(2344), + [sym_selected_name] = STATE(2344), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3443), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1166), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [223] = { + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7088), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym_default] = STATE(7088), + [sym__any] = STATE(7088), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2818), + [sym_selected_name] = STATE(2818), + [sym_ambiguous_name] = STATE(3070), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3071), + [sym_external_constant_name] = STATE(3156), + [sym_external_signal_name] = STATE(3156), + [sym_external_variable_name] = STATE(3156), + [sym__expr] = STATE(3207), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1172), + [anon_sym_LPAREN] = ACTIONS(1158), + [anon_sym_RPAREN] = ACTIONS(1184), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_GT] = ACTIONS(1176), + [aux_sym_default_token1] = ACTIONS(1178), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [224] = { + [sym_range_attribute_name] = STATE(5407), + [sym_ascending_range] = STATE(5407), + [sym_descending_range] = STATE(5407), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5880), + [sym_record_element_constraint] = STATE(5677), + [sym_subtype_indication] = STATE(5406), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2122), + [sym_selected_name] = STATE(2413), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(7314), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1186), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [225] = { + [sym_range_attribute_name] = STATE(5632), + [sym_ascending_range] = STATE(5632), + [sym_descending_range] = STATE(5632), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5880), + [sym_record_element_constraint] = STATE(5677), + [sym_subtype_indication] = STATE(5406), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2122), + [sym_selected_name] = STATE(2413), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(7314), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1186), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [226] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6995), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [227] = { + [sym_range_attribute_name] = STATE(6257), + [sym_ascending_range] = STATE(6257), + [sym_descending_range] = STATE(6257), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6190), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6258), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [228] = { + [sym_block_configuration] = STATE(6414), + [sym_procedure_declaration] = STATE(381), + [sym_function_declaration] = STATE(381), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(381), + [sym_function_body] = STATE(381), + [sym_procedure_instantiation_declaration] = STATE(381), + [sym_function_instantiation_declaration] = STATE(381), + [sym_package_declaration] = STATE(381), + [sym_package_body] = STATE(381), + [sym_package_instantiation_declaration] = STATE(381), + [sym_incomplete_type_declaration] = STATE(381), + [sym_declarative_part] = STATE(4831), + [sym__declaration] = STATE(381), + [sym__type_declaration] = STATE(381), + [sym_full_type_declaration] = STATE(381), + [sym_subtype_declaration] = STATE(381), + [sym_constant_declaration] = STATE(381), + [sym_signal_declaration] = STATE(381), + [sym_variable_declaration] = STATE(381), + [sym_shared_variable_declaration] = STATE(381), + [sym_file_declaration] = STATE(381), + [sym_alias_declaration] = STATE(381), + [sym_attribute_declaration] = STATE(381), + [sym_component_declaration] = STATE(381), + [sym_group_template_declaration] = STATE(381), + [sym_group_declaration] = STATE(381), + [sym_attribute_specification] = STATE(381), + [sym_configuration_specification] = STATE(381), + [sym_verification_unit_binding_indication] = STATE(4839), + [sym_disconnection_specification] = STATE(381), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(381), + [sym__PSL_Declaration] = STATE(381), + [sym_PSL_Clock_Declaration] = STATE(381), + [sym_PSL_Property_Declaration] = STATE(381), + [sym_PSL_Sequence_Declaration] = STATE(381), + [sym__PSL_Directive] = STATE(381), + [sym_PSL_Assert_Directive] = STATE(381), + [sym_PSL_Assume_Directive] = STATE(381), + [sym_PSL_Assume_Guarantee_Directive] = STATE(381), + [sym_PSL_Restrict_Directive] = STATE(381), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(381), + [sym_PSL_Cover_Directive] = STATE(381), + [sym_PSL_Fairness_Directive] = STATE(381), + [sym_PSL_Strong_Fairness_Directive] = STATE(381), + [aux_sym_configuration_declaration_repeat1] = STATE(4839), + [aux_sym_declarative_part_repeat1] = STATE(381), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1190), + [aux_sym_block_configuration_token1] = ACTIONS(1192), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(1194), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [229] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [230] = { + [sym_range_attribute_name] = STATE(7307), + [sym_ascending_range] = STATE(7307), + [sym_descending_range] = STATE(7307), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(7314), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [231] = { + [sym_range_attribute_name] = STATE(6279), + [sym_ascending_range] = STATE(6279), + [sym_descending_range] = STATE(6279), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6256), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6280), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [232] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6569), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [233] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6825), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [234] = { + [sym_range_attribute_name] = STATE(6083), + [sym_ascending_range] = STATE(6083), + [sym_descending_range] = STATE(6083), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7302), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6084), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [235] = { + [sym_block_configuration] = STATE(6415), + [sym_procedure_declaration] = STATE(381), + [sym_function_declaration] = STATE(381), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(381), + [sym_function_body] = STATE(381), + [sym_procedure_instantiation_declaration] = STATE(381), + [sym_function_instantiation_declaration] = STATE(381), + [sym_package_declaration] = STATE(381), + [sym_package_body] = STATE(381), + [sym_package_instantiation_declaration] = STATE(381), + [sym_incomplete_type_declaration] = STATE(381), + [sym_declarative_part] = STATE(4823), + [sym__declaration] = STATE(381), + [sym__type_declaration] = STATE(381), + [sym_full_type_declaration] = STATE(381), + [sym_subtype_declaration] = STATE(381), + [sym_constant_declaration] = STATE(381), + [sym_signal_declaration] = STATE(381), + [sym_variable_declaration] = STATE(381), + [sym_shared_variable_declaration] = STATE(381), + [sym_file_declaration] = STATE(381), + [sym_alias_declaration] = STATE(381), + [sym_attribute_declaration] = STATE(381), + [sym_component_declaration] = STATE(381), + [sym_group_template_declaration] = STATE(381), + [sym_group_declaration] = STATE(381), + [sym_attribute_specification] = STATE(381), + [sym_configuration_specification] = STATE(381), + [sym_verification_unit_binding_indication] = STATE(4841), + [sym_disconnection_specification] = STATE(381), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(381), + [sym__PSL_Declaration] = STATE(381), + [sym_PSL_Clock_Declaration] = STATE(381), + [sym_PSL_Property_Declaration] = STATE(381), + [sym_PSL_Sequence_Declaration] = STATE(381), + [sym__PSL_Directive] = STATE(381), + [sym_PSL_Assert_Directive] = STATE(381), + [sym_PSL_Assume_Directive] = STATE(381), + [sym_PSL_Assume_Guarantee_Directive] = STATE(381), + [sym_PSL_Restrict_Directive] = STATE(381), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(381), + [sym_PSL_Cover_Directive] = STATE(381), + [sym_PSL_Fairness_Directive] = STATE(381), + [sym_PSL_Strong_Fairness_Directive] = STATE(381), + [aux_sym_configuration_declaration_repeat1] = STATE(4841), + [aux_sym_declarative_part_repeat1] = STATE(381), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1196), + [aux_sym_block_configuration_token1] = ACTIONS(1192), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(1194), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [236] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6504), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [237] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6440), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [238] = { + [sym_range_attribute_name] = STATE(6191), + [sym_ascending_range] = STATE(6191), + [sym_descending_range] = STATE(6191), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6082), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6192), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [239] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2439), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1198), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [240] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6675), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2418), + [sym_selected_name] = STATE(2418), + [sym_ambiguous_name] = STATE(3038), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3039), + [sym_external_constant_name] = STATE(3065), + [sym_external_signal_name] = STATE(3065), + [sym_external_variable_name] = STATE(3065), + [sym__expr] = STATE(3066), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1188), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [241] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7184), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1200), + [aux_sym_entity_declaration_token3] = ACTIONS(1202), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [anon_sym_LPAREN] = ACTIONS(1204), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [242] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(249), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(249), + [sym__concurrent_statement] = STATE(249), + [sym_block_statement] = STATE(249), + [sym_process_statement] = STATE(249), + [sym_component_instantiation_statement] = STATE(249), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(249), + [sym_if_generate_statement] = STATE(249), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(249), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(249), + [sym_PSL_Clock_Declaration] = STATE(249), + [sym_PSL_Property_Declaration] = STATE(249), + [sym_PSL_Sequence_Declaration] = STATE(249), + [sym__PSL_Directive] = STATE(249), + [sym_PSL_Assert_Directive] = STATE(249), + [sym_PSL_Assume_Directive] = STATE(249), + [sym_PSL_Assume_Guarantee_Directive] = STATE(249), + [sym_PSL_Restrict_Directive] = STATE(249), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(249), + [sym_PSL_Cover_Directive] = STATE(249), + [sym_PSL_Fairness_Directive] = STATE(249), + [sym_PSL_Strong_Fairness_Directive] = STATE(249), + [aux_sym_concurrent_statement_part_repeat1] = STATE(249), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1206), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__when_clause_token1] = ACTIONS(1213), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1213), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_elsif_token1] = ACTIONS(1213), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [243] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(249), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(249), + [sym__concurrent_statement] = STATE(249), + [sym_block_statement] = STATE(249), + [sym_process_statement] = STATE(249), + [sym_component_instantiation_statement] = STATE(249), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(249), + [sym_if_generate_statement] = STATE(249), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(249), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(249), + [sym_PSL_Clock_Declaration] = STATE(249), + [sym_PSL_Property_Declaration] = STATE(249), + [sym_PSL_Sequence_Declaration] = STATE(249), + [sym__PSL_Directive] = STATE(249), + [sym_PSL_Assert_Directive] = STATE(249), + [sym_PSL_Assume_Directive] = STATE(249), + [sym_PSL_Assume_Guarantee_Directive] = STATE(249), + [sym_PSL_Restrict_Directive] = STATE(249), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(249), + [sym_PSL_Cover_Directive] = STATE(249), + [sym_PSL_Fairness_Directive] = STATE(249), + [sym_PSL_Strong_Fairness_Directive] = STATE(249), + [aux_sym_concurrent_statement_part_repeat1] = STATE(249), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1215), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__when_clause_token1] = ACTIONS(1218), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1218), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_elsif_token1] = ACTIONS(1218), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [244] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(243), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(243), + [sym__concurrent_statement] = STATE(243), + [sym_block_statement] = STATE(243), + [sym_process_statement] = STATE(243), + [sym_component_instantiation_statement] = STATE(243), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(243), + [sym_if_generate_statement] = STATE(243), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(243), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(243), + [sym_PSL_Clock_Declaration] = STATE(243), + [sym_PSL_Property_Declaration] = STATE(243), + [sym_PSL_Sequence_Declaration] = STATE(243), + [sym__PSL_Directive] = STATE(243), + [sym_PSL_Assert_Directive] = STATE(243), + [sym_PSL_Assume_Directive] = STATE(243), + [sym_PSL_Assume_Guarantee_Directive] = STATE(243), + [sym_PSL_Restrict_Directive] = STATE(243), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(243), + [sym_PSL_Cover_Directive] = STATE(243), + [sym_PSL_Fairness_Directive] = STATE(243), + [sym_PSL_Strong_Fairness_Directive] = STATE(243), + [aux_sym_concurrent_statement_part_repeat1] = STATE(243), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1220), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__when_clause_token1] = ACTIONS(1223), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1223), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_elsif_token1] = ACTIONS(1223), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [245] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(249), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(249), + [sym__concurrent_statement] = STATE(249), + [sym_block_statement] = STATE(249), + [sym_process_statement] = STATE(249), + [sym_component_instantiation_statement] = STATE(249), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(249), + [sym_if_generate_statement] = STATE(249), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(249), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(249), + [sym_PSL_Clock_Declaration] = STATE(249), + [sym_PSL_Property_Declaration] = STATE(249), + [sym_PSL_Sequence_Declaration] = STATE(249), + [sym__PSL_Directive] = STATE(249), + [sym_PSL_Assert_Directive] = STATE(249), + [sym_PSL_Assume_Directive] = STATE(249), + [sym_PSL_Assume_Guarantee_Directive] = STATE(249), + [sym_PSL_Restrict_Directive] = STATE(249), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(249), + [sym_PSL_Cover_Directive] = STATE(249), + [sym_PSL_Fairness_Directive] = STATE(249), + [sym_PSL_Strong_Fairness_Directive] = STATE(249), + [aux_sym_concurrent_statement_part_repeat1] = STATE(249), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1220), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__when_clause_token1] = ACTIONS(1223), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1223), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_elsif_token1] = ACTIONS(1223), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [246] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7215), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1225), + [aux_sym_entity_declaration_token3] = ACTIONS(1227), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [anon_sym_LPAREN] = ACTIONS(1229), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [247] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(245), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(245), + [sym__concurrent_statement] = STATE(245), + [sym_block_statement] = STATE(245), + [sym_process_statement] = STATE(245), + [sym_component_instantiation_statement] = STATE(245), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(245), + [sym_if_generate_statement] = STATE(245), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(245), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(245), + [sym_PSL_Clock_Declaration] = STATE(245), + [sym_PSL_Property_Declaration] = STATE(245), + [sym_PSL_Sequence_Declaration] = STATE(245), + [sym__PSL_Directive] = STATE(245), + [sym_PSL_Assert_Directive] = STATE(245), + [sym_PSL_Assume_Directive] = STATE(245), + [sym_PSL_Assume_Guarantee_Directive] = STATE(245), + [sym_PSL_Restrict_Directive] = STATE(245), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(245), + [sym_PSL_Cover_Directive] = STATE(245), + [sym_PSL_Fairness_Directive] = STATE(245), + [sym_PSL_Strong_Fairness_Directive] = STATE(245), + [aux_sym_concurrent_statement_part_repeat1] = STATE(245), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1206), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym__when_clause_token1] = ACTIONS(1213), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1213), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_elsif_token1] = ACTIONS(1213), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [248] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6829), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1231), + [aux_sym_entity_declaration_token3] = ACTIONS(1233), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [anon_sym_LPAREN] = ACTIONS(1235), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [249] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(249), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(249), + [sym__concurrent_statement] = STATE(249), + [sym_block_statement] = STATE(249), + [sym_process_statement] = STATE(249), + [sym_component_instantiation_statement] = STATE(249), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(249), + [sym_if_generate_statement] = STATE(249), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(249), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(249), + [sym_PSL_Clock_Declaration] = STATE(249), + [sym_PSL_Property_Declaration] = STATE(249), + [sym_PSL_Sequence_Declaration] = STATE(249), + [sym__PSL_Directive] = STATE(249), + [sym_PSL_Assert_Directive] = STATE(249), + [sym_PSL_Assume_Directive] = STATE(249), + [sym_PSL_Assume_Guarantee_Directive] = STATE(249), + [sym_PSL_Restrict_Directive] = STATE(249), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(249), + [sym_PSL_Cover_Directive] = STATE(249), + [sym_PSL_Fairness_Directive] = STATE(249), + [sym_PSL_Strong_Fairness_Directive] = STATE(249), + [aux_sym_concurrent_statement_part_repeat1] = STATE(249), + [sym_basic_identifier] = ACTIONS(1237), + [aux_sym_entity_declaration_token1] = ACTIONS(1240), + [aux_sym_entity_declaration_token4] = ACTIONS(1243), + [aux_sym_configuration_declaration_token1] = ACTIONS(1245), + [aux_sym_block_configuration_token1] = ACTIONS(1248), + [anon_sym_LPAREN] = ACTIONS(1251), + [aux_sym_open_token1] = ACTIONS(1254), + [aux_sym_default_token1] = ACTIONS(1257), + [aux_sym_component_declaration_token1] = ACTIONS(1260), + [aux_sym_entity_class_token3] = ACTIONS(1263), + [aux_sym_entity_class_token4] = ACTIONS(1266), + [anon_sym_LT_LT] = ACTIONS(1269), + [aux_sym_assertion_statement_token1] = ACTIONS(1272), + [aux_sym_assertion_statement_token2] = ACTIONS(1275), + [aux_sym__when_clause_token1] = ACTIONS(1243), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1243), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(1278), + [aux_sym_if_statement_token1] = ACTIONS(1281), + [aux_sym_elsif_token1] = ACTIONS(1243), + [aux_sym_case_statement_token1] = ACTIONS(1284), + [aux_sym_block_statement_token1] = ACTIONS(1287), + [aux_sym_process_statement_token1] = ACTIONS(1290), + [sym_extended_identifier] = ACTIONS(1293), + [aux_sym_character_literal_token1] = ACTIONS(1296), + [aux_sym_character_literal_token2] = ACTIONS(1299), + [aux_sym_string_literal_token1] = ACTIONS(1302), + [aux_sym_string_literal_token2] = ACTIONS(1305), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(1308), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(1311), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(1314), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(1317), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(1320), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(1323), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(1326), + }, + [250] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7170), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1329), + [aux_sym_entity_declaration_token3] = ACTIONS(1331), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [anon_sym_LPAREN] = ACTIONS(1333), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [251] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7199), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1335), + [aux_sym_entity_declaration_token3] = ACTIONS(1337), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [anon_sym_LPAREN] = ACTIONS(1339), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [252] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7093), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1341), + [aux_sym_entity_declaration_token3] = ACTIONS(1343), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [anon_sym_LPAREN] = ACTIONS(1345), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [253] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(5689), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1347), + [aux_sym_entity_declaration_token4] = ACTIONS(1349), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [254] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7219), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1351), + [aux_sym_entity_declaration_token3] = ACTIONS(1353), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [255] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(5691), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1355), + [aux_sym_entity_declaration_token4] = ACTIONS(1357), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [256] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6573), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1359), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_package_body_token1] = ACTIONS(1361), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [257] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7227), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1363), + [aux_sym_entity_declaration_token3] = ACTIONS(1365), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [258] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6091), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1367), + [aux_sym_entity_declaration_token3] = ACTIONS(1369), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [259] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6306), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1371), + [aux_sym_entity_declaration_token3] = ACTIONS(1373), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [260] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7214), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1375), + [aux_sym_entity_declaration_token3] = ACTIONS(1377), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [261] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6478), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token2] = ACTIONS(1379), + [aux_sym_entity_declaration_token3] = ACTIONS(1381), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [262] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6159), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1383), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [263] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6016), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1385), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [264] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7234), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1387), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [265] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7233), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1389), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [266] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7232), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1391), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [267] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6672), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1393), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [268] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7228), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1395), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [269] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7040), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1397), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [270] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6586), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1399), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [271] = { + [sym_procedure_declaration] = STATE(289), + [sym_function_declaration] = STATE(289), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(289), + [sym_function_body] = STATE(289), + [sym_procedure_instantiation_declaration] = STATE(289), + [sym_function_instantiation_declaration] = STATE(289), + [sym_package_declaration] = STATE(289), + [sym_package_body] = STATE(289), + [sym_package_instantiation_declaration] = STATE(289), + [sym_incomplete_type_declaration] = STATE(289), + [sym__declaration] = STATE(289), + [sym__type_declaration] = STATE(289), + [sym_full_type_declaration] = STATE(289), + [sym_subtype_declaration] = STATE(289), + [sym_constant_declaration] = STATE(289), + [sym_signal_declaration] = STATE(289), + [sym_variable_declaration] = STATE(289), + [sym_shared_variable_declaration] = STATE(289), + [sym_file_declaration] = STATE(289), + [sym_alias_declaration] = STATE(289), + [sym_attribute_declaration] = STATE(289), + [sym_component_declaration] = STATE(289), + [sym_group_template_declaration] = STATE(289), + [sym_group_declaration] = STATE(289), + [sym_attribute_specification] = STATE(289), + [sym_configuration_specification] = STATE(289), + [sym_disconnection_specification] = STATE(289), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(289), + [sym__PSL_Declaration] = STATE(289), + [sym_PSL_Clock_Declaration] = STATE(289), + [sym_PSL_Property_Declaration] = STATE(289), + [sym_PSL_Sequence_Declaration] = STATE(289), + [sym__PSL_Directive] = STATE(289), + [sym_PSL_Assert_Directive] = STATE(289), + [sym_PSL_Assume_Directive] = STATE(289), + [sym_PSL_Assume_Guarantee_Directive] = STATE(289), + [sym_PSL_Restrict_Directive] = STATE(289), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(289), + [sym_PSL_Cover_Directive] = STATE(289), + [sym_PSL_Fairness_Directive] = STATE(289), + [sym_PSL_Strong_Fairness_Directive] = STATE(289), + [aux_sym_declarative_part_repeat1] = STATE(289), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1401), + [aux_sym_entity_declaration_token4] = ACTIONS(1401), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [272] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7093), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1343), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [273] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6829), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1233), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [274] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7227), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1365), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [275] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6163), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1403), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [276] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6584), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1405), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [277] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6582), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1407), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [278] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7102), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1409), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [279] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7219), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1353), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [280] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6195), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1411), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [281] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7075), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1413), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [282] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7101), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1415), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [283] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7222), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1417), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [284] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7059), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1419), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [285] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6635), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1421), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [286] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6634), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1423), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [287] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7076), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1425), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [288] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6633), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1427), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [289] = { + [sym_procedure_declaration] = STATE(289), + [sym_function_declaration] = STATE(289), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(289), + [sym_function_body] = STATE(289), + [sym_procedure_instantiation_declaration] = STATE(289), + [sym_function_instantiation_declaration] = STATE(289), + [sym_package_declaration] = STATE(289), + [sym_package_body] = STATE(289), + [sym_package_instantiation_declaration] = STATE(289), + [sym_incomplete_type_declaration] = STATE(289), + [sym__declaration] = STATE(289), + [sym__type_declaration] = STATE(289), + [sym_full_type_declaration] = STATE(289), + [sym_subtype_declaration] = STATE(289), + [sym_constant_declaration] = STATE(289), + [sym_signal_declaration] = STATE(289), + [sym_variable_declaration] = STATE(289), + [sym_shared_variable_declaration] = STATE(289), + [sym_file_declaration] = STATE(289), + [sym_alias_declaration] = STATE(289), + [sym_attribute_declaration] = STATE(289), + [sym_component_declaration] = STATE(289), + [sym_group_template_declaration] = STATE(289), + [sym_group_declaration] = STATE(289), + [sym_attribute_specification] = STATE(289), + [sym_configuration_specification] = STATE(289), + [sym_disconnection_specification] = STATE(289), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(289), + [sym__PSL_Declaration] = STATE(289), + [sym_PSL_Clock_Declaration] = STATE(289), + [sym_PSL_Property_Declaration] = STATE(289), + [sym_PSL_Sequence_Declaration] = STATE(289), + [sym__PSL_Directive] = STATE(289), + [sym_PSL_Assert_Directive] = STATE(289), + [sym_PSL_Assume_Directive] = STATE(289), + [sym_PSL_Assume_Guarantee_Directive] = STATE(289), + [sym_PSL_Restrict_Directive] = STATE(289), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(289), + [sym_PSL_Cover_Directive] = STATE(289), + [sym_PSL_Fairness_Directive] = STATE(289), + [sym_PSL_Strong_Fairness_Directive] = STATE(289), + [aux_sym_declarative_part_repeat1] = STATE(289), + [sym_basic_identifier] = ACTIONS(1429), + [aux_sym_entity_declaration_token3] = ACTIONS(1432), + [aux_sym_entity_declaration_token4] = ACTIONS(1432), + [aux_sym_block_configuration_token1] = ACTIONS(1434), + [aux_sym__procedure_specification_token1] = ACTIONS(1437), + [aux_sym__procedure_specification_token2] = ACTIONS(1437), + [aux_sym__procedure_specification_token3] = ACTIONS(1440), + [aux_sym__function_specification_token1] = ACTIONS(1443), + [aux_sym_package_declaration_token1] = ACTIONS(1446), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(1449), + [aux_sym_file_type_definition_token1] = ACTIONS(1452), + [aux_sym_subtype_declaration_token1] = ACTIONS(1455), + [aux_sym_constant_declaration_token1] = ACTIONS(1458), + [aux_sym_signal_declaration_token1] = ACTIONS(1461), + [aux_sym_variable_declaration_token1] = ACTIONS(1464), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(1467), + [aux_sym_default_token1] = ACTIONS(1470), + [aux_sym_alias_declaration_token1] = ACTIONS(1473), + [aux_sym_attribute_declaration_token1] = ACTIONS(1476), + [aux_sym_component_declaration_token1] = ACTIONS(1479), + [aux_sym_group_template_declaration_token1] = ACTIONS(1482), + [aux_sym_entity_class_token3] = ACTIONS(1485), + [aux_sym_entity_class_token4] = ACTIONS(1488), + [aux_sym_binding_indication_token1] = ACTIONS(1491), + [aux_sym_disconnection_specification_token1] = ACTIONS(1494), + [aux_sym_assertion_statement_token2] = ACTIONS(1497), + [sym_extended_identifier] = ACTIONS(1500), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(1503), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(1506), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(1509), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(1512), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(1515), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(1518), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(1521), + }, + [290] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7070), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1524), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [291] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7216), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1526), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [292] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7098), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1528), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [293] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7097), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1530), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [294] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7015), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1532), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [295] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7014), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1534), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [296] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(5954), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1536), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [297] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6416), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1538), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [298] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7214), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1377), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [299] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6417), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1540), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [300] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6353), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1542), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [301] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(5952), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1544), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [302] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7055), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1546), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [303] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6419), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1548), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [304] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6420), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1550), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [305] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7048), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1552), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [306] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7095), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1554), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [307] = { + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7175), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2818), + [sym_selected_name] = STATE(2818), + [sym_ambiguous_name] = STATE(3070), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3071), + [sym_external_constant_name] = STATE(3156), + [sym_external_signal_name] = STATE(3156), + [sym_external_variable_name] = STATE(3156), + [sym__expr] = STATE(3207), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1172), + [anon_sym_LPAREN] = ACTIONS(1158), + [anon_sym_RPAREN] = ACTIONS(1556), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [308] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6651), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1558), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [309] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6424), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1560), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [310] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6425), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1562), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [311] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6205), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1564), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [312] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6654), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1566), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [313] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6019), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1568), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [314] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6135), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1570), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [315] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6091), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1369), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [316] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7056), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1572), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [317] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6800), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1574), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [318] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6153), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1576), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [319] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6797), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1578), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [320] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7189), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1580), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [321] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7184), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1202), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [322] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6015), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1582), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [323] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6761), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1584), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [324] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7112), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1586), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [325] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(499), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5375), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(7321), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1604), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1606), + [aux_sym_simple_release_assignment_token1] = ACTIONS(1608), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [326] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(523), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5536), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(6984), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1620), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1622), + [aux_sym_simple_release_assignment_token1] = ACTIONS(1624), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [327] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(5947), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1626), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [328] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(3028), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4747), + [sym_PSL_Built_In_Function_Call] = STATE(4747), + [sym_PSL_Union_Expression] = STATE(5250), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [329] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7068), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1628), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [330] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6996), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1630), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [331] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(3037), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4750), + [sym_PSL_Built_In_Function_Call] = STATE(4750), + [sym_PSL_Union_Expression] = STATE(5254), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [332] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(497), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5668), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(6671), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1632), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1634), + [aux_sym_simple_release_assignment_token1] = ACTIONS(1636), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [333] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6799), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1638), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [334] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7094), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1640), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [335] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(513), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5404), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(7267), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1642), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1644), + [aux_sym_simple_release_assignment_token1] = ACTIONS(1646), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [336] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6437), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1648), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [337] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6624), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1650), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [338] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7002), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1652), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [339] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6173), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1654), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [340] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6172), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1656), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [341] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6000), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1658), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [342] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7110), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1660), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [343] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6002), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1662), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [344] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6478), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1381), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [345] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6500), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1664), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [346] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7003), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1666), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [347] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6170), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1668), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [348] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6494), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1670), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [349] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7089), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1672), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [350] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7087), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1674), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [351] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7108), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1676), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [352] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6180), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1678), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [353] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6253), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1680), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [354] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7107), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1682), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [355] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6246), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1684), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [356] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6245), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1686), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [357] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7105), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1688), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [358] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6306), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1373), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [359] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7085), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1690), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [360] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7084), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1692), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [361] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6059), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1694), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [362] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6061), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1696), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [363] = { + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6483), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2818), + [sym_selected_name] = STATE(2818), + [sym_ambiguous_name] = STATE(3070), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3071), + [sym_external_constant_name] = STATE(3156), + [sym_external_signal_name] = STATE(3156), + [sym_external_variable_name] = STATE(3156), + [sym__expr] = STATE(3207), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1172), + [anon_sym_LPAREN] = ACTIONS(1158), + [anon_sym_RPAREN] = ACTIONS(1698), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [364] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7201), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1700), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [365] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6481), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1702), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [366] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6520), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1704), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [367] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6885), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1706), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [368] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(7199), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1337), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [369] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7081), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1708), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [370] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6030), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1710), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [371] = { + [sym_procedure_declaration] = STATE(271), + [sym_function_declaration] = STATE(271), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(271), + [sym_function_body] = STATE(271), + [sym_procedure_instantiation_declaration] = STATE(271), + [sym_function_instantiation_declaration] = STATE(271), + [sym_package_declaration] = STATE(271), + [sym_package_body] = STATE(271), + [sym_package_instantiation_declaration] = STATE(271), + [sym_incomplete_type_declaration] = STATE(271), + [sym_declarative_part] = STATE(6064), + [sym__declaration] = STATE(271), + [sym__type_declaration] = STATE(271), + [sym_full_type_declaration] = STATE(271), + [sym_subtype_declaration] = STATE(271), + [sym_constant_declaration] = STATE(271), + [sym_signal_declaration] = STATE(271), + [sym_variable_declaration] = STATE(271), + [sym_shared_variable_declaration] = STATE(271), + [sym_file_declaration] = STATE(271), + [sym_alias_declaration] = STATE(271), + [sym_attribute_declaration] = STATE(271), + [sym_component_declaration] = STATE(271), + [sym_group_template_declaration] = STATE(271), + [sym_group_declaration] = STATE(271), + [sym_attribute_specification] = STATE(271), + [sym_configuration_specification] = STATE(271), + [sym_disconnection_specification] = STATE(271), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(271), + [sym__PSL_Declaration] = STATE(271), + [sym_PSL_Clock_Declaration] = STATE(271), + [sym_PSL_Property_Declaration] = STATE(271), + [sym_PSL_Sequence_Declaration] = STATE(271), + [sym__PSL_Directive] = STATE(271), + [sym_PSL_Assert_Directive] = STATE(271), + [sym_PSL_Assume_Directive] = STATE(271), + [sym_PSL_Assume_Guarantee_Directive] = STATE(271), + [sym_PSL_Restrict_Directive] = STATE(271), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(271), + [sym_PSL_Cover_Directive] = STATE(271), + [sym_PSL_Fairness_Directive] = STATE(271), + [sym_PSL_Strong_Fairness_Directive] = STATE(271), + [aux_sym_declarative_part_repeat1] = STATE(271), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token3] = ACTIONS(1712), + [aux_sym_block_configuration_token1] = ACTIONS(1012), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(358), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [372] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(6065), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1714), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [373] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7033), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1716), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [374] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(384), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(384), + [sym_concurrent_statement_part] = STATE(7036), + [sym__concurrent_statement] = STATE(384), + [sym_block_statement] = STATE(384), + [sym_process_statement] = STATE(384), + [sym_component_instantiation_statement] = STATE(384), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(384), + [sym_if_generate_statement] = STATE(384), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(384), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(384), + [sym_PSL_Clock_Declaration] = STATE(384), + [sym_PSL_Property_Declaration] = STATE(384), + [sym_PSL_Sequence_Declaration] = STATE(384), + [sym__PSL_Directive] = STATE(384), + [sym_PSL_Assert_Directive] = STATE(384), + [sym_PSL_Assume_Directive] = STATE(384), + [sym_PSL_Assume_Guarantee_Directive] = STATE(384), + [sym_PSL_Restrict_Directive] = STATE(384), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(384), + [sym_PSL_Cover_Directive] = STATE(384), + [sym_PSL_Fairness_Directive] = STATE(384), + [sym_PSL_Strong_Fairness_Directive] = STATE(384), + [aux_sym_concurrent_statement_part_repeat1] = STATE(384), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1718), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [375] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2454), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4118), + [sym_PSL_Built_In_Function_Call] = STATE(4118), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [376] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2867), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4301), + [sym_PSL_Built_In_Function_Call] = STATE(4301), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [377] = { + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6256), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2818), + [sym_selected_name] = STATE(2818), + [sym_ambiguous_name] = STATE(3070), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3071), + [sym_external_constant_name] = STATE(3156), + [sym_external_signal_name] = STATE(3156), + [sym_external_variable_name] = STATE(3156), + [sym__expr] = STATE(3207), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1172), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [378] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2902), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Expression] = STATE(4585), + [sym_PSL_Built_In_Function_Call] = STATE(4585), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + }, + [379] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2896), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Expression] = STATE(4594), + [sym_PSL_Built_In_Function_Call] = STATE(4594), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + }, + [380] = { + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6190), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2818), + [sym_selected_name] = STATE(2818), + [sym_ambiguous_name] = STATE(3070), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3071), + [sym_external_constant_name] = STATE(3156), + [sym_external_signal_name] = STATE(3156), + [sym_external_variable_name] = STATE(3156), + [sym__expr] = STATE(3207), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1172), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [381] = { + [sym_procedure_declaration] = STATE(289), + [sym_function_declaration] = STATE(289), + [sym__procedure_specification] = STATE(5844), + [sym__function_specification] = STATE(5845), + [sym_procedure_body] = STATE(289), + [sym_function_body] = STATE(289), + [sym_procedure_instantiation_declaration] = STATE(289), + [sym_function_instantiation_declaration] = STATE(289), + [sym_package_declaration] = STATE(289), + [sym_package_body] = STATE(289), + [sym_package_instantiation_declaration] = STATE(289), + [sym_incomplete_type_declaration] = STATE(289), + [sym__declaration] = STATE(289), + [sym__type_declaration] = STATE(289), + [sym_full_type_declaration] = STATE(289), + [sym_subtype_declaration] = STATE(289), + [sym_constant_declaration] = STATE(289), + [sym_signal_declaration] = STATE(289), + [sym_variable_declaration] = STATE(289), + [sym_shared_variable_declaration] = STATE(289), + [sym_file_declaration] = STATE(289), + [sym_alias_declaration] = STATE(289), + [sym_attribute_declaration] = STATE(289), + [sym_component_declaration] = STATE(289), + [sym_group_template_declaration] = STATE(289), + [sym_group_declaration] = STATE(289), + [sym_attribute_specification] = STATE(289), + [sym_configuration_specification] = STATE(289), + [sym_disconnection_specification] = STATE(289), + [sym_label] = STATE(4571), + [sym_use_clause] = STATE(289), + [sym__PSL_Declaration] = STATE(289), + [sym_PSL_Clock_Declaration] = STATE(289), + [sym_PSL_Property_Declaration] = STATE(289), + [sym_PSL_Sequence_Declaration] = STATE(289), + [sym__PSL_Directive] = STATE(289), + [sym_PSL_Assert_Directive] = STATE(289), + [sym_PSL_Assume_Directive] = STATE(289), + [sym_PSL_Assume_Guarantee_Directive] = STATE(289), + [sym_PSL_Restrict_Directive] = STATE(289), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(289), + [sym_PSL_Cover_Directive] = STATE(289), + [sym_PSL_Fairness_Directive] = STATE(289), + [sym_PSL_Strong_Fairness_Directive] = STATE(289), + [aux_sym_declarative_part_repeat1] = STATE(289), + [sym_basic_identifier] = ACTIONS(1006), + [aux_sym_entity_declaration_token4] = ACTIONS(1401), + [aux_sym_block_configuration_token1] = ACTIONS(1401), + [aux_sym__procedure_specification_token1] = ACTIONS(322), + [aux_sym__procedure_specification_token2] = ACTIONS(322), + [aux_sym__procedure_specification_token3] = ACTIONS(324), + [aux_sym__function_specification_token1] = ACTIONS(326), + [aux_sym_package_declaration_token1] = ACTIONS(328), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(330), + [aux_sym_file_type_definition_token1] = ACTIONS(332), + [aux_sym_subtype_declaration_token1] = ACTIONS(334), + [aux_sym_constant_declaration_token1] = ACTIONS(336), + [aux_sym_signal_declaration_token1] = ACTIONS(338), + [aux_sym_variable_declaration_token1] = ACTIONS(340), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(342), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_alias_declaration_token1] = ACTIONS(346), + [aux_sym_attribute_declaration_token1] = ACTIONS(348), + [aux_sym_component_declaration_token1] = ACTIONS(1020), + [aux_sym_group_template_declaration_token1] = ACTIONS(352), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [aux_sym_binding_indication_token1] = ACTIONS(1401), + [aux_sym_disconnection_specification_token1] = ACTIONS(360), + [aux_sym_assertion_statement_token2] = ACTIONS(1022), + [sym_extended_identifier] = ACTIONS(1024), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [382] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3172), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5475), + [sym_PSL_Built_In_Function_Call] = STATE(5475), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [383] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3136), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5477), + [sym_PSL_Built_In_Function_Call] = STATE(5477), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [384] = { + [sym_open] = STATE(4553), + [sym__operator_symbol] = STATE(7310), + [sym__entity_aspect] = STATE(4553), + [sym__simple_name] = STATE(4267), + [sym_selected_name] = STATE(4267), + [sym_ambiguous_name] = STATE(4395), + [sym_slice_name] = STATE(5267), + [sym_attribute_name] = STATE(4501), + [sym_external_constant_name] = STATE(4502), + [sym_external_signal_name] = STATE(4502), + [sym_external_variable_name] = STATE(4502), + [sym_aggregate] = STATE(5896), + [sym_function_call] = STATE(4619), + [sym_assertion_statement] = STATE(249), + [sym_simple_waveform_assignment] = STATE(2358), + [sym__target] = STATE(6966), + [sym_conditional_waveform_assignment] = STATE(2313), + [sym_selected_waveform_assignment] = STATE(2366), + [sym_procedure_call_statement] = STATE(249), + [sym__concurrent_statement] = STATE(249), + [sym_block_statement] = STATE(249), + [sym_process_statement] = STATE(249), + [sym_component_instantiation_statement] = STATE(249), + [sym_entity_instantiation] = STATE(4553), + [sym_configuration_instantiation] = STATE(4553), + [sym_component_instantiation] = STATE(4553), + [sym_for_generate_statement] = STATE(249), + [sym_if_generate_statement] = STATE(249), + [sym_if_generate] = STATE(4696), + [sym_case_generate_statement] = STATE(249), + [sym_label] = STATE(2946), + [sym_character_literal] = STATE(5896), + [sym_string_literal] = STATE(3646), + [sym__PSL_Declaration] = STATE(249), + [sym_PSL_Clock_Declaration] = STATE(249), + [sym_PSL_Property_Declaration] = STATE(249), + [sym_PSL_Sequence_Declaration] = STATE(249), + [sym__PSL_Directive] = STATE(249), + [sym_PSL_Assert_Directive] = STATE(249), + [sym_PSL_Assume_Directive] = STATE(249), + [sym_PSL_Assume_Guarantee_Directive] = STATE(249), + [sym_PSL_Restrict_Directive] = STATE(249), + [sym_PSL_Restrict_Guarantee_Directive] = STATE(249), + [sym_PSL_Cover_Directive] = STATE(249), + [sym_PSL_Fairness_Directive] = STATE(249), + [sym_PSL_Strong_Fairness_Directive] = STATE(249), + [aux_sym_concurrent_statement_part_repeat1] = STATE(249), + [sym_basic_identifier] = ACTIONS(7), + [aux_sym_entity_declaration_token1] = ACTIONS(311), + [aux_sym_entity_declaration_token4] = ACTIONS(1738), + [aux_sym_configuration_declaration_token1] = ACTIONS(318), + [aux_sym_block_configuration_token1] = ACTIONS(1209), + [anon_sym_LPAREN] = ACTIONS(17), + [aux_sym_open_token1] = ACTIONS(29), + [aux_sym_default_token1] = ACTIONS(344), + [aux_sym_component_declaration_token1] = ACTIONS(1211), + [aux_sym_entity_class_token3] = ACTIONS(354), + [aux_sym_entity_class_token4] = ACTIONS(356), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_assertion_statement_token1] = ACTIONS(362), + [aux_sym_assertion_statement_token2] = ACTIONS(364), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(368), + [aux_sym_if_statement_token1] = ACTIONS(370), + [aux_sym_case_statement_token1] = ACTIONS(372), + [aux_sym_block_statement_token1] = ACTIONS(374), + [aux_sym_process_statement_token1] = ACTIONS(376), + [sym_extended_identifier] = ACTIONS(99), + [aux_sym_character_literal_token1] = ACTIONS(101), + [aux_sym_character_literal_token2] = ACTIONS(103), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(378), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(380), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(382), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(384), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(386), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(388), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(390), + }, + [385] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(517), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6043), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1742), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1744), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [386] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(506), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6062), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1746), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1748), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [387] = { + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(6082), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2818), + [sym_selected_name] = STATE(2818), + [sym_ambiguous_name] = STATE(3070), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3071), + [sym_external_constant_name] = STATE(3156), + [sym_external_signal_name] = STATE(3156), + [sym_external_variable_name] = STATE(3156), + [sym__expr] = STATE(3207), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1172), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [388] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(528), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5337), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(7096), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1750), + [aux_sym_simple_release_assignment_token1] = ACTIONS(1752), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [389] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3173), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5365), + [sym_PSL_Built_In_Function_Call] = STATE(5365), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [390] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(3169), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5667), + [sym_PSL_Built_In_Function_Call] = STATE(5667), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [391] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3168), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5428), + [sym_PSL_Built_In_Function_Call] = STATE(5428), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [392] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(514), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6515), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1754), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1756), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [393] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3165), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5419), + [sym_PSL_Built_In_Function_Call] = STATE(5419), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [394] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3195), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5643), + [sym_PSL_Built_In_Function_Call] = STATE(5643), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [395] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3131), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5589), + [sym_PSL_Built_In_Function_Call] = STATE(5589), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [396] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3197), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5590), + [sym_PSL_Built_In_Function_Call] = STATE(5590), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [397] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(3138), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5644), + [sym_PSL_Built_In_Function_Call] = STATE(5644), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [398] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(498), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6343), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1760), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1762), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [399] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(529), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6277), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1764), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1766), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [400] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(520), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5376), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(7320), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1768), + [aux_sym_simple_release_assignment_token1] = ACTIONS(1770), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [401] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(3184), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5528), + [sym_PSL_Built_In_Function_Call] = STATE(5528), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [402] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3174), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5444), + [sym_PSL_Built_In_Function_Call] = STATE(5444), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [403] = { + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7010), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2818), + [sym_selected_name] = STATE(2818), + [sym_ambiguous_name] = STATE(3070), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3071), + [sym_external_constant_name] = STATE(3156), + [sym_external_signal_name] = STATE(3156), + [sym_external_variable_name] = STATE(3156), + [sym__expr] = STATE(3207), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1172), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [404] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(3162), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5626), + [sym_PSL_Built_In_Function_Call] = STATE(5626), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [405] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(508), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6024), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1772), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1774), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [406] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(504), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6901), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1776), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1778), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [407] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2847), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4199), + [sym_PSL_Built_In_Function_Call] = STATE(4199), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [408] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(507), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5555), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(6435), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1780), + [aux_sym_simple_release_assignment_token1] = ACTIONS(1782), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [409] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2819), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4177), + [sym_PSL_Built_In_Function_Call] = STATE(4177), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [410] = { + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym_association_list] = STATE(7302), + [sym__association_element] = STATE(5531), + [sym_positional_association_element] = STATE(5531), + [sym_named_association_element] = STATE(5531), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2818), + [sym_selected_name] = STATE(2818), + [sym_ambiguous_name] = STATE(3070), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3071), + [sym_external_constant_name] = STATE(3156), + [sym_external_signal_name] = STATE(3156), + [sym_external_variable_name] = STATE(3156), + [sym__expr] = STATE(3207), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1172), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [411] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3141), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5619), + [sym_PSL_Built_In_Function_Call] = STATE(5619), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [412] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(3177), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5533), + [sym_PSL_Built_In_Function_Call] = STATE(5533), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [413] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3143), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5621), + [sym_PSL_Built_In_Function_Call] = STATE(5621), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [414] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(500), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5651), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(6726), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1784), + [aux_sym_simple_release_assignment_token1] = ACTIONS(1786), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [415] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(3186), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5520), + [sym_PSL_Built_In_Function_Call] = STATE(5520), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [416] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2455), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4140), + [sym_PSL_Built_In_Function_Call] = STATE(4140), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [417] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(501), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6648), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1788), + [aux_sym_simple_force_assignment_token1] = ACTIONS(1790), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [418] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2822), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4199), + [sym_PSL_Built_In_Function_Call] = STATE(4199), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [419] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2855), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4177), + [sym_PSL_Built_In_Function_Call] = STATE(4177), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [420] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3134), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5427), + [sym_PSL_Built_In_Function_Call] = STATE(5427), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [421] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2793), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(4311), + [sym_PSL_Built_In_Function_Call] = STATE(4311), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [422] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(3146), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Expression] = STATE(5546), + [sym_PSL_Built_In_Function_Call] = STATE(5546), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [423] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2206), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_PSL_Built_In_Function_Call] = STATE(3835), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [424] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(513), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5404), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(7267), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1810), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [425] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2229), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_PSL_Built_In_Function_Call] = STATE(3835), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [426] = { + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5790), + [sym_subtype_indication] = STATE(5786), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym__association_element] = STATE(5750), + [sym_positional_association_element] = STATE(5750), + [sym_named_association_element] = STATE(5750), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2818), + [sym_selected_name] = STATE(2818), + [sym_ambiguous_name] = STATE(3070), + [sym_slice_name] = STATE(3415), + [sym_attribute_name] = STATE(3071), + [sym_external_constant_name] = STATE(3156), + [sym_external_signal_name] = STATE(3156), + [sym_external_variable_name] = STATE(3156), + [sym__expr] = STATE(3207), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5782), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(6998), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3575), + [sym_string_literal] = STATE(3576), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1172), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [427] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(499), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5375), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(7321), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1850), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [428] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(504), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6901), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1776), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [429] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3350), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_PSL_Built_In_Function_Call] = STATE(7340), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [430] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3019), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_PSL_Built_In_Function_Call] = STATE(4669), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [aux_sym__predefined_designator_token18] = ACTIONS(769), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [aux_sym_next_statement_token1] = ACTIONS(769), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(803), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(769), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(805), + }, + [431] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(501), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6648), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1788), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [432] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3357), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_PSL_Built_In_Function_Call] = STATE(6864), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [433] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3178), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_PSL_Built_In_Function_Call] = STATE(3835), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [434] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(498), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6343), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1760), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [435] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2864), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Built_In_Function_Call] = STATE(4176), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [436] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2774), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_PSL_Built_In_Function_Call] = STATE(4176), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [437] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(514), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6515), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_simple_waveform_assignment_token1] = ACTIONS(1754), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [438] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3289), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_PSL_Built_In_Function_Call] = STATE(3835), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [aux_sym__predefined_designator_token18] = ACTIONS(597), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_next_statement_token1] = ACTIONS(597), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Built_In_Function_Call_token1] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token2] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token3] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token4] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token5] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token6] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token7] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token8] = ACTIONS(637), + [aux_sym_PSL_Built_In_Function_Call_token9] = ACTIONS(597), + [aux_sym_PSL_Built_In_Function_Call_token10] = ACTIONS(639), + }, + [439] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_resolution_function] = STATE(6914), + [sym_parenthesized_resolution] = STATE(6914), + [sym_record_resolution] = STATE(6914), + [sym_record_element_resolution] = STATE(5561), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(634), + [sym_selected_name] = STATE(2972), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(2983), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym__element_association_list] = STATE(7208), + [sym_positional_element_association] = STATE(7221), + [sym_named_element_association] = STATE(5890), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1918), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [440] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(522), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6288), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [441] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(516), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6457), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [442] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(530), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(5967), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [443] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(528), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5337), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(7096), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [444] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(521), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6342), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [445] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(515), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(5979), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [446] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(502), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6645), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [447] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(520), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(5376), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(7320), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [448] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(510), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6081), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [449] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_delay_mechanism] = STATE(519), + [sym_transport] = STATE(3649), + [sym_inertial] = STATE(3649), + [sym__reject] = STATE(6987), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6580), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1592), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_transport_token1] = ACTIONS(1610), + [aux_sym__reject_token1] = ACTIONS(1612), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [450] = { + [sym_entity_declaration] = STATE(1272), + [sym_architecture_body] = STATE(1272), + [sym_configuration_declaration] = STATE(1272), + [sym_package_declaration] = STATE(1272), + [sym_package_body] = STATE(1272), + [sym_package_instantiation_declaration] = STATE(1272), + [sym__primary_unit] = STATE(1272), + [sym__secondary_unit] = STATE(1272), + [sym_context_declaration] = STATE(1272), + [sym__PSL_Verification_Unit] = STATE(1272), + [sym_PSL_VUnit] = STATE(1272), + [sym_PSL_VProp] = STATE(1272), + [sym_PSL_VMode] = STATE(1272), + [ts_builtin_sym_end] = ACTIONS(1920), + [sym_basic_identifier] = ACTIONS(1922), + [aux_sym_entity_declaration_token1] = ACTIONS(1924), + [aux_sym_architecture_body_token1] = ACTIONS(11), + [aux_sym_configuration_declaration_token1] = ACTIONS(1926), + [aux_sym_block_configuration_token1] = ACTIONS(1920), + [anon_sym_LPAREN] = ACTIONS(1920), + [aux_sym__procedure_specification_token1] = ACTIONS(1920), + [aux_sym__procedure_specification_token2] = ACTIONS(1920), + [aux_sym__procedure_specification_token3] = ACTIONS(1920), + [aux_sym__function_specification_token1] = ACTIONS(1920), + [aux_sym_return_token1] = ACTIONS(1920), + [aux_sym_package_declaration_token1] = ACTIONS(27), + [aux_sym_open_token1] = ACTIONS(1920), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(1920), + [aux_sym_file_type_definition_token1] = ACTIONS(1920), + [aux_sym_subtype_declaration_token1] = ACTIONS(1920), + [aux_sym_constant_declaration_token1] = ACTIONS(1920), + [aux_sym_signal_declaration_token1] = ACTIONS(1920), + [aux_sym_variable_declaration_token1] = ACTIONS(1920), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(1920), + [aux_sym_default_token1] = ACTIONS(1920), + [aux_sym_alias_declaration_token1] = ACTIONS(1920), + [aux_sym_attribute_declaration_token1] = ACTIONS(1920), + [aux_sym_component_declaration_token1] = ACTIONS(1920), + [aux_sym_group_template_declaration_token1] = ACTIONS(1920), + [aux_sym_entity_class_token3] = ACTIONS(1920), + [aux_sym_entity_class_token4] = ACTIONS(1920), + [aux_sym_binding_indication_token1] = ACTIONS(1920), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(61), + [aux_sym_disconnection_specification_token1] = ACTIONS(1920), + [anon_sym_LT_LT] = ACTIONS(1920), + [aux_sym_null_token1] = ACTIONS(1920), + [aux_sym_wait_statement_token1] = ACTIONS(1920), + [aux_sym_assertion_statement_token1] = ACTIONS(1920), + [aux_sym_assertion_statement_token2] = ACTIONS(1920), + [aux_sym__report_token1] = ACTIONS(1920), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(1920), + [aux_sym_if_statement_token1] = ACTIONS(1920), + [aux_sym_case_statement_token1] = ACTIONS(1920), + [aux_sym_loop_statement_token1] = ACTIONS(1920), + [aux_sym_while_loop_token1] = ACTIONS(1920), + [aux_sym_next_statement_token1] = ACTIONS(1920), + [aux_sym_exit_statement_token1] = ACTIONS(1920), + [aux_sym_block_statement_token1] = ACTIONS(1920), + [aux_sym_process_statement_token1] = ACTIONS(1920), + [aux_sym_library_clause_token1] = ACTIONS(1920), + [aux_sym_context_declaration_token1] = ACTIONS(1928), + [sym_extended_identifier] = ACTIONS(1920), + [aux_sym_character_literal_token1] = ACTIONS(1920), + [aux_sym_character_literal_token2] = ACTIONS(1922), + [aux_sym_string_literal_token1] = ACTIONS(1920), + [aux_sym_string_literal_token2] = ACTIONS(1922), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(1922), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(1920), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(1922), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(1920), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(1920), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(1920), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(1920), + [aux_sym_PSL_VProp_token1] = ACTIONS(123), + [aux_sym_PSL_VMode_token1] = ACTIONS(125), + }, + [451] = { + [sym_range_attribute_name] = STATE(5391), + [sym_ascending_range] = STATE(5391), + [sym_descending_range] = STATE(5391), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(6112), + [sym_record_element_constraint] = STATE(5394), + [sym_subtype_indication] = STATE(5391), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2141), + [sym_selected_name] = STATE(2489), + [sym_ambiguous_name] = STATE(3139), + [sym_slice_name] = STATE(3434), + [sym_attribute_name] = STATE(2979), + [sym_external_constant_name] = STATE(3292), + [sym_external_signal_name] = STATE(3292), + [sym_external_variable_name] = STATE(3292), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1930), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [452] = { + [sym_range_attribute_name] = STATE(5676), + [sym_ascending_range] = STATE(5676), + [sym_descending_range] = STATE(5676), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(6630), + [sym_record_element_constraint] = STATE(5677), + [sym_subtype_indication] = STATE(5676), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2141), + [sym_selected_name] = STATE(2489), + [sym_ambiguous_name] = STATE(3139), + [sym_slice_name] = STATE(3434), + [sym_attribute_name] = STATE(2979), + [sym_external_constant_name] = STATE(3292), + [sym_external_signal_name] = STATE(3292), + [sym_external_variable_name] = STATE(3292), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1930), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [453] = { + [sym_range_attribute_name] = STATE(5436), + [sym_ascending_range] = STATE(5436), + [sym_descending_range] = STATE(5436), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(6197), + [sym_record_element_constraint] = STATE(5437), + [sym_subtype_indication] = STATE(5436), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2141), + [sym_selected_name] = STATE(2489), + [sym_ambiguous_name] = STATE(3139), + [sym_slice_name] = STATE(3434), + [sym_attribute_name] = STATE(2979), + [sym_external_constant_name] = STATE(3292), + [sym_external_signal_name] = STATE(3292), + [sym_external_variable_name] = STATE(3292), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1930), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [454] = { + [sym_range_attribute_name] = STATE(5455), + [sym_ascending_range] = STATE(5455), + [sym_descending_range] = STATE(5455), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(6261), + [sym_record_element_constraint] = STATE(5456), + [sym_subtype_indication] = STATE(5455), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2141), + [sym_selected_name] = STATE(2489), + [sym_ambiguous_name] = STATE(3139), + [sym_slice_name] = STATE(3434), + [sym_attribute_name] = STATE(2979), + [sym_external_constant_name] = STATE(3292), + [sym_external_signal_name] = STATE(3292), + [sym_external_variable_name] = STATE(3292), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1930), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [455] = { + [sym_range_attribute_name] = STATE(5676), + [sym_ascending_range] = STATE(5676), + [sym_descending_range] = STATE(5676), + [sym_physical_literal] = STATE(1701), + [sym_index_subtype_definition] = STATE(5507), + [sym_open] = STATE(6630), + [sym_subtype_indication] = STATE(5676), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4512), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2489), + [sym_selected_name] = STATE(2489), + [sym_ambiguous_name] = STATE(3139), + [sym_slice_name] = STATE(3434), + [sym_attribute_name] = STATE(2979), + [sym_external_constant_name] = STATE(3292), + [sym_external_signal_name] = STATE(3292), + [sym_external_variable_name] = STATE(3292), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1932), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [456] = { + [sym_range_attribute_name] = STATE(5410), + [sym_ascending_range] = STATE(5410), + [sym_descending_range] = STATE(5410), + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(7261), + [sym_record_element_constraint] = STATE(5412), + [sym_subtype_indication] = STATE(5410), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2141), + [sym_selected_name] = STATE(2489), + [sym_ambiguous_name] = STATE(3139), + [sym_slice_name] = STATE(3434), + [sym_attribute_name] = STATE(2979), + [sym_external_constant_name] = STATE(3292), + [sym_external_signal_name] = STATE(3292), + [sym_external_variable_name] = STATE(3292), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1930), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [457] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(2970), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym__element_association_list] = STATE(6276), + [sym_positional_element_association] = STATE(7221), + [sym_named_element_association] = STATE(5890), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [458] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(2967), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym__element_association_list] = STATE(7293), + [sym_positional_element_association] = STATE(7221), + [sym_named_element_association] = STATE(5890), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [459] = { + [sym_basic_identifier] = ACTIONS(1934), + [aux_sym_entity_declaration_token2] = ACTIONS(1936), + [aux_sym_entity_declaration_token4] = ACTIONS(1936), + [anon_sym_SEMI] = ACTIONS(1936), + [aux_sym_block_configuration_token1] = ACTIONS(1936), + [anon_sym_LPAREN] = ACTIONS(1936), + [anon_sym_RPAREN] = ACTIONS(1936), + [anon_sym_COMMA] = ACTIONS(1936), + [aux_sym_return_token1] = ACTIONS(1936), + [anon_sym_LBRACK] = ACTIONS(1936), + [anon_sym_RBRACK] = ACTIONS(1936), + [aux_sym_range_constraint_token1] = ACTIONS(1936), + [aux_sym_ascending_range_token1] = ACTIONS(1936), + [aux_sym_descending_range_token1] = ACTIONS(1936), + [aux_sym_physical_type_definition_token1] = ACTIONS(1936), + [aux_sym_open_token1] = ACTIONS(1936), + [anon_sym_COLON] = ACTIONS(1934), + [aux_sym_signal_kind_token1] = ACTIONS(1936), + [aux_sym_signal_kind_token2] = ACTIONS(1936), + [aux_sym_generic_clause_token1] = ACTIONS(1936), + [aux_sym_port_clause_token1] = ACTIONS(1936), + [anon_sym_EQ_GT] = ACTIONS(1936), + [aux_sym_binding_indication_token1] = ACTIONS(1936), + [anon_sym_DOT] = ACTIONS(1936), + [anon_sym_SQUOTE] = ACTIONS(1936), + [anon_sym_GT_GT] = ACTIONS(1936), + [anon_sym_COLON_EQ] = ACTIONS(1936), + [aux_sym_inertial_expression_token1] = ACTIONS(1936), + [aux_sym_reduction_token1] = ACTIONS(1936), + [aux_sym_reduction_token2] = ACTIONS(1936), + [aux_sym_reduction_token3] = ACTIONS(1936), + [aux_sym_reduction_token4] = ACTIONS(1936), + [aux_sym_reduction_token5] = ACTIONS(1936), + [aux_sym_reduction_token6] = ACTIONS(1936), + [anon_sym_PLUS] = ACTIONS(1936), + [anon_sym_DASH] = ACTIONS(1934), + [anon_sym_LT] = ACTIONS(1934), + [anon_sym_GT] = ACTIONS(1934), + [anon_sym_EQ2] = ACTIONS(1934), + [anon_sym_LT_EQ] = ACTIONS(1936), + [anon_sym_GT_EQ] = ACTIONS(1936), + [anon_sym_SLASH_EQ] = ACTIONS(1936), + [anon_sym_QMARK_LT] = ACTIONS(1934), + [anon_sym_QMARK_GT] = ACTIONS(1934), + [anon_sym_QMARK_EQ] = ACTIONS(1936), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1936), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1936), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1936), + [aux_sym_shift_expression_token1] = ACTIONS(1936), + [aux_sym_shift_expression_token2] = ACTIONS(1936), + [aux_sym_shift_expression_token3] = ACTIONS(1936), + [aux_sym_shift_expression_token4] = ACTIONS(1936), + [aux_sym_shift_expression_token5] = ACTIONS(1936), + [aux_sym_shift_expression_token6] = ACTIONS(1936), + [anon_sym_AMP] = ACTIONS(1936), + [anon_sym_STAR] = ACTIONS(1934), + [anon_sym_SLASH] = ACTIONS(1934), + [aux_sym_term_token1] = ACTIONS(1936), + [aux_sym_term_token2] = ACTIONS(1936), + [anon_sym_STAR_STAR] = ACTIONS(1936), + [anon_sym_PIPE] = ACTIONS(1936), + [aux_sym__condition_clause_token1] = ACTIONS(1936), + [aux_sym__report_token1] = ACTIONS(1936), + [aux_sym__severity_token1] = ACTIONS(1936), + [aux_sym__after_token1] = ACTIONS(1936), + [aux_sym__when_clause_token1] = ACTIONS(1936), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1936), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1936), + [aux_sym_if_token1] = ACTIONS(1936), + [aux_sym_loop_statement_token1] = ACTIONS(1936), + [aux_sym_for_generate_statement_token1] = ACTIONS(1936), + [sym_extended_identifier] = ACTIONS(1936), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1936), + }, + [460] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(2978), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym__element_association_list] = STATE(6251), + [sym_positional_element_association] = STATE(7221), + [sym_named_element_association] = STATE(5890), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [461] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(2983), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym__element_association_list] = STATE(7208), + [sym_positional_element_association] = STATE(7221), + [sym_named_element_association] = STATE(5890), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [462] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(2983), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym__element_association_list] = STATE(7293), + [sym_positional_element_association] = STATE(7221), + [sym_named_element_association] = STATE(5890), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [463] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(2968), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym__element_association_list] = STATE(6049), + [sym_positional_element_association] = STATE(7221), + [sym_named_element_association] = STATE(5890), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [464] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(2985), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym__element_association_list] = STATE(6179), + [sym_positional_element_association] = STATE(7221), + [sym_named_element_association] = STATE(5890), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [465] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(2967), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_positional_element_association] = STATE(5773), + [sym_named_element_association] = STATE(5773), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [466] = { + [sym_basic_identifier] = ACTIONS(1938), + [aux_sym_entity_declaration_token2] = ACTIONS(1940), + [anon_sym_SEMI] = ACTIONS(1940), + [aux_sym_block_configuration_token1] = ACTIONS(1940), + [anon_sym_LPAREN] = ACTIONS(1940), + [anon_sym_RPAREN] = ACTIONS(1940), + [anon_sym_COMMA] = ACTIONS(1940), + [aux_sym_return_token1] = ACTIONS(1940), + [aux_sym_procedure_parameter_clause_token1] = ACTIONS(1940), + [anon_sym_LBRACK] = ACTIONS(1940), + [anon_sym_RBRACK] = ACTIONS(1940), + [aux_sym_range_constraint_token1] = ACTIONS(1940), + [aux_sym_ascending_range_token1] = ACTIONS(1940), + [aux_sym_descending_range_token1] = ACTIONS(1940), + [aux_sym_physical_type_definition_token1] = ACTIONS(1940), + [aux_sym_open_token1] = ACTIONS(1940), + [anon_sym_COLON] = ACTIONS(1938), + [aux_sym_signal_kind_token1] = ACTIONS(1940), + [aux_sym_signal_kind_token2] = ACTIONS(1940), + [aux_sym_generic_clause_token1] = ACTIONS(1940), + [aux_sym_port_clause_token1] = ACTIONS(1940), + [anon_sym_EQ_GT] = ACTIONS(1940), + [anon_sym_DOT] = ACTIONS(1940), + [anon_sym_SQUOTE] = ACTIONS(1940), + [anon_sym_GT_GT] = ACTIONS(1940), + [anon_sym_COLON_EQ] = ACTIONS(1940), + [aux_sym_inertial_expression_token1] = ACTIONS(1940), + [aux_sym_reduction_token1] = ACTIONS(1940), + [aux_sym_reduction_token2] = ACTIONS(1940), + [aux_sym_reduction_token3] = ACTIONS(1940), + [aux_sym_reduction_token4] = ACTIONS(1940), + [aux_sym_reduction_token5] = ACTIONS(1940), + [aux_sym_reduction_token6] = ACTIONS(1940), + [anon_sym_PLUS] = ACTIONS(1940), + [anon_sym_DASH] = ACTIONS(1938), + [anon_sym_LT] = ACTIONS(1938), + [anon_sym_GT] = ACTIONS(1938), + [anon_sym_EQ2] = ACTIONS(1938), + [anon_sym_LT_EQ] = ACTIONS(1940), + [anon_sym_GT_EQ] = ACTIONS(1940), + [anon_sym_SLASH_EQ] = ACTIONS(1940), + [anon_sym_QMARK_LT] = ACTIONS(1938), + [anon_sym_QMARK_GT] = ACTIONS(1938), + [anon_sym_QMARK_EQ] = ACTIONS(1940), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1940), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1940), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1940), + [aux_sym_shift_expression_token1] = ACTIONS(1940), + [aux_sym_shift_expression_token2] = ACTIONS(1940), + [aux_sym_shift_expression_token3] = ACTIONS(1940), + [aux_sym_shift_expression_token4] = ACTIONS(1940), + [aux_sym_shift_expression_token5] = ACTIONS(1940), + [aux_sym_shift_expression_token6] = ACTIONS(1940), + [anon_sym_AMP] = ACTIONS(1940), + [anon_sym_STAR] = ACTIONS(1938), + [anon_sym_SLASH] = ACTIONS(1938), + [aux_sym_term_token1] = ACTIONS(1940), + [aux_sym_term_token2] = ACTIONS(1940), + [anon_sym_STAR_STAR] = ACTIONS(1940), + [anon_sym_PIPE] = ACTIONS(1940), + [aux_sym__condition_clause_token1] = ACTIONS(1940), + [aux_sym__report_token1] = ACTIONS(1940), + [aux_sym__severity_token1] = ACTIONS(1940), + [aux_sym__after_token1] = ACTIONS(1940), + [aux_sym__when_clause_token1] = ACTIONS(1940), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1940), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1940), + [aux_sym_if_token1] = ACTIONS(1940), + [aux_sym_loop_statement_token1] = ACTIONS(1940), + [aux_sym_for_generate_statement_token1] = ACTIONS(1940), + [sym_extended_identifier] = ACTIONS(1940), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1940), + }, + [467] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(2967), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_positional_element_association] = STATE(5563), + [sym_named_element_association] = STATE(5563), + [sym_choices] = STATE(7290), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [468] = { + [sym_physical_literal] = STATE(1701), + [sym_open] = STATE(5752), + [sym_subtype_indication] = STATE(5753), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2869), + [sym_selected_name] = STATE(2869), + [sym_ambiguous_name] = STATE(3151), + [sym_slice_name] = STATE(3434), + [sym_attribute_name] = STATE(3135), + [sym_external_constant_name] = STATE(3266), + [sym_external_signal_name] = STATE(3266), + [sym_external_variable_name] = STATE(3266), + [sym__expr] = STATE(3288), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_inertial_expression] = STATE(5754), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(3637), + [sym_string_literal] = STATE(3634), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1942), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym_open_token1] = ACTIONS(29), + [anon_sym_LT_LT] = ACTIONS(1078), + [aux_sym_inertial_expression_token1] = ACTIONS(1160), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [469] = { + [sym_basic_identifier] = ACTIONS(1944), + [aux_sym_entity_declaration_token2] = ACTIONS(1946), + [anon_sym_SEMI] = ACTIONS(1946), + [aux_sym_block_configuration_token1] = ACTIONS(1946), + [anon_sym_LPAREN] = ACTIONS(1946), + [anon_sym_RPAREN] = ACTIONS(1946), + [anon_sym_COMMA] = ACTIONS(1946), + [aux_sym_return_token1] = ACTIONS(1946), + [aux_sym_procedure_parameter_clause_token1] = ACTIONS(1946), + [anon_sym_LBRACK] = ACTIONS(1946), + [anon_sym_RBRACK] = ACTIONS(1946), + [aux_sym_range_constraint_token1] = ACTIONS(1946), + [aux_sym_ascending_range_token1] = ACTIONS(1946), + [aux_sym_descending_range_token1] = ACTIONS(1946), + [aux_sym_physical_type_definition_token1] = ACTIONS(1946), + [aux_sym_open_token1] = ACTIONS(1946), + [anon_sym_COLON] = ACTIONS(1944), + [aux_sym_signal_kind_token1] = ACTIONS(1946), + [aux_sym_signal_kind_token2] = ACTIONS(1946), + [aux_sym_generic_clause_token1] = ACTIONS(1946), + [aux_sym_port_clause_token1] = ACTIONS(1946), + [anon_sym_EQ_GT] = ACTIONS(1946), + [anon_sym_DOT] = ACTIONS(1946), + [anon_sym_SQUOTE] = ACTIONS(1946), + [anon_sym_GT_GT] = ACTIONS(1946), + [anon_sym_COLON_EQ] = ACTIONS(1946), + [aux_sym_inertial_expression_token1] = ACTIONS(1946), + [aux_sym_reduction_token1] = ACTIONS(1946), + [aux_sym_reduction_token2] = ACTIONS(1946), + [aux_sym_reduction_token3] = ACTIONS(1946), + [aux_sym_reduction_token4] = ACTIONS(1946), + [aux_sym_reduction_token5] = ACTIONS(1946), + [aux_sym_reduction_token6] = ACTIONS(1946), + [anon_sym_PLUS] = ACTIONS(1946), + [anon_sym_DASH] = ACTIONS(1944), + [anon_sym_LT] = ACTIONS(1944), + [anon_sym_GT] = ACTIONS(1944), + [anon_sym_EQ2] = ACTIONS(1944), + [anon_sym_LT_EQ] = ACTIONS(1946), + [anon_sym_GT_EQ] = ACTIONS(1946), + [anon_sym_SLASH_EQ] = ACTIONS(1946), + [anon_sym_QMARK_LT] = ACTIONS(1944), + [anon_sym_QMARK_GT] = ACTIONS(1944), + [anon_sym_QMARK_EQ] = ACTIONS(1946), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1946), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1946), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1946), + [aux_sym_shift_expression_token1] = ACTIONS(1946), + [aux_sym_shift_expression_token2] = ACTIONS(1946), + [aux_sym_shift_expression_token3] = ACTIONS(1946), + [aux_sym_shift_expression_token4] = ACTIONS(1946), + [aux_sym_shift_expression_token5] = ACTIONS(1946), + [aux_sym_shift_expression_token6] = ACTIONS(1946), + [anon_sym_AMP] = ACTIONS(1946), + [anon_sym_STAR] = ACTIONS(1944), + [anon_sym_SLASH] = ACTIONS(1944), + [aux_sym_term_token1] = ACTIONS(1946), + [aux_sym_term_token2] = ACTIONS(1946), + [anon_sym_STAR_STAR] = ACTIONS(1946), + [anon_sym_PIPE] = ACTIONS(1946), + [aux_sym__condition_clause_token1] = ACTIONS(1946), + [aux_sym__report_token1] = ACTIONS(1946), + [aux_sym__severity_token1] = ACTIONS(1946), + [aux_sym__after_token1] = ACTIONS(1946), + [aux_sym__when_clause_token1] = ACTIONS(1946), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1946), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1946), + [aux_sym_if_token1] = ACTIONS(1946), + [aux_sym_loop_statement_token1] = ACTIONS(1946), + [aux_sym_for_generate_statement_token1] = ACTIONS(1946), + [sym_extended_identifier] = ACTIONS(1946), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1946), + }, + [470] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3155), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(571), + [sym_conditional_expressions] = STATE(7312), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(1948), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [471] = { + [sym_range_attribute_name] = STATE(5762), + [sym_ascending_range] = STATE(5762), + [sym_descending_range] = STATE(5762), + [sym_physical_literal] = STATE(1701), + [sym_subtype_indication] = STATE(5762), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2470), + [sym_selected_name] = STATE(2470), + [sym_ambiguous_name] = STATE(3139), + [sym_slice_name] = STATE(3434), + [sym_attribute_name] = STATE(2979), + [sym_external_constant_name] = STATE(3292), + [sym_external_signal_name] = STATE(3292), + [sym_external_variable_name] = STATE(3292), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1952), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [472] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3182), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(576), + [sym_conditional_expressions] = STATE(6725), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(1954), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [473] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3054), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_choices] = STATE(6506), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_label] = STATE(476), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1956), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1958), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [474] = { + [sym_range_attribute_name] = STATE(5764), + [sym_ascending_range] = STATE(5764), + [sym_descending_range] = STATE(5764), + [sym_physical_literal] = STATE(1701), + [sym_subtype_indication] = STATE(5764), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2470), + [sym_selected_name] = STATE(2470), + [sym_ambiguous_name] = STATE(3139), + [sym_slice_name] = STATE(3434), + [sym_attribute_name] = STATE(2979), + [sym_external_constant_name] = STATE(3292), + [sym_external_signal_name] = STATE(3292), + [sym_external_variable_name] = STATE(3292), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1952), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [475] = { + [sym_range_attribute_name] = STATE(5875), + [sym_ascending_range] = STATE(5875), + [sym_descending_range] = STATE(5875), + [sym_physical_literal] = STATE(1701), + [sym_subtype_indication] = STATE(5875), + [sym_resolution_function] = STATE(3879), + [sym_parenthesized_resolution] = STATE(3879), + [sym_record_resolution] = STATE(3879), + [sym_type_mark] = STATE(4357), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2489), + [sym_selected_name] = STATE(2489), + [sym_ambiguous_name] = STATE(3139), + [sym_slice_name] = STATE(3434), + [sym_attribute_name] = STATE(2979), + [sym_external_constant_name] = STATE(3292), + [sym_external_signal_name] = STATE(3292), + [sym_external_variable_name] = STATE(3292), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1932), + [anon_sym_LPAREN] = ACTIONS(1158), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1164), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [476] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3054), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_choices] = STATE(6271), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [477] = { + [sym_range_attribute_name] = STATE(5334), + [sym_ascending_range] = STATE(5334), + [sym_descending_range] = STATE(5334), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3006), + [sym_selected_name] = STATE(3006), + [sym_ambiguous_name] = STATE(3007), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(3060), + [sym_external_signal_name] = STATE(3060), + [sym_external_variable_name] = STATE(3060), + [sym__expr] = STATE(2995), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_choices] = STATE(5742), + [sym_others] = STATE(5334), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1960), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [478] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(605), + [sym_selected_expressions] = STATE(6340), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [479] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3339), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(610), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(1962), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [480] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(603), + [sym_selected_expressions] = STATE(6581), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [481] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(624), + [sym_selected_expressions] = STATE(6080), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [482] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(642), + [sym_selected_expressions] = STATE(6636), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [483] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3358), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(637), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(1964), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [484] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(601), + [sym_selected_expressions] = STATE(6273), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [485] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3387), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(599), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(1966), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [486] = { + [sym_range_attribute_name] = STATE(5334), + [sym_ascending_range] = STATE(5334), + [sym_descending_range] = STATE(5334), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3006), + [sym_selected_name] = STATE(3006), + [sym_ambiguous_name] = STATE(3007), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(3060), + [sym_external_signal_name] = STATE(3060), + [sym_external_variable_name] = STATE(3060), + [sym__expr] = STATE(2995), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_choices] = STATE(5251), + [sym_others] = STATE(5334), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1960), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [487] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(619), + [sym_selected_expressions] = STATE(6087), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [488] = { + [sym__component_specification] = STATE(4475), + [sym_instantiation_list] = STATE(7300), + [sym_all] = STATE(7299), + [sym__simple_name] = STATE(5390), + [sym_others] = STATE(7299), + [sym_parameter_specification] = STATE(5892), + [ts_builtin_sym_end] = ACTIONS(1968), + [sym_basic_identifier] = ACTIONS(1970), + [aux_sym_entity_declaration_token1] = ACTIONS(1968), + [aux_sym_architecture_body_token1] = ACTIONS(1968), + [aux_sym_configuration_declaration_token1] = ACTIONS(1968), + [aux_sym_block_configuration_token1] = ACTIONS(1968), + [anon_sym_LPAREN] = ACTIONS(1968), + [aux_sym__procedure_specification_token1] = ACTIONS(1968), + [aux_sym__procedure_specification_token2] = ACTIONS(1968), + [aux_sym__procedure_specification_token3] = ACTIONS(1968), + [aux_sym__function_specification_token1] = ACTIONS(1968), + [aux_sym_return_token1] = ACTIONS(1968), + [aux_sym_package_declaration_token1] = ACTIONS(1968), + [aux_sym_open_token1] = ACTIONS(1968), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(1968), + [aux_sym_file_type_definition_token1] = ACTIONS(1968), + [aux_sym_subtype_declaration_token1] = ACTIONS(1968), + [aux_sym_constant_declaration_token1] = ACTIONS(1968), + [aux_sym_signal_declaration_token1] = ACTIONS(1968), + [aux_sym_variable_declaration_token1] = ACTIONS(1968), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(1968), + [aux_sym_default_token1] = ACTIONS(1968), + [aux_sym_alias_declaration_token1] = ACTIONS(1968), + [aux_sym_attribute_declaration_token1] = ACTIONS(1968), + [aux_sym_component_declaration_token1] = ACTIONS(1968), + [aux_sym_group_template_declaration_token1] = ACTIONS(1968), + [aux_sym_entity_class_token3] = ACTIONS(1968), + [aux_sym_entity_class_token4] = ACTIONS(1968), + [aux_sym_all_token1] = ACTIONS(1972), + [aux_sym_binding_indication_token1] = ACTIONS(1968), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(1968), + [aux_sym_disconnection_specification_token1] = ACTIONS(1968), + [anon_sym_LT_LT] = ACTIONS(1968), + [aux_sym_null_token1] = ACTIONS(1968), + [aux_sym_others_token1] = ACTIONS(615), + [aux_sym_wait_statement_token1] = ACTIONS(1968), + [aux_sym_assertion_statement_token1] = ACTIONS(1968), + [aux_sym_assertion_statement_token2] = ACTIONS(1968), + [aux_sym__report_token1] = ACTIONS(1968), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(1968), + [aux_sym_if_statement_token1] = ACTIONS(1968), + [aux_sym_case_statement_token1] = ACTIONS(1968), + [aux_sym_loop_statement_token1] = ACTIONS(1968), + [aux_sym_while_loop_token1] = ACTIONS(1968), + [aux_sym_next_statement_token1] = ACTIONS(1968), + [aux_sym_exit_statement_token1] = ACTIONS(1968), + [aux_sym_block_statement_token1] = ACTIONS(1968), + [aux_sym_process_statement_token1] = ACTIONS(1968), + [aux_sym_library_clause_token1] = ACTIONS(1968), + [aux_sym_context_declaration_token1] = ACTIONS(1968), + [sym_extended_identifier] = ACTIONS(1974), + [aux_sym_character_literal_token1] = ACTIONS(1968), + [aux_sym_character_literal_token2] = ACTIONS(1976), + [aux_sym_string_literal_token1] = ACTIONS(1968), + [aux_sym_string_literal_token2] = ACTIONS(1976), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(1976), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(1968), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(1976), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(1968), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(1968), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(1968), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(1968), + [aux_sym_PSL_VProp_token1] = ACTIONS(1968), + [aux_sym_PSL_VMode_token1] = ACTIONS(1968), + }, + [489] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3317), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(590), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(1978), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [490] = { + [sym_range_attribute_name] = STATE(5334), + [sym_ascending_range] = STATE(5334), + [sym_descending_range] = STATE(5334), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3006), + [sym_selected_name] = STATE(3006), + [sym_ambiguous_name] = STATE(3007), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(3060), + [sym_external_signal_name] = STATE(3060), + [sym_external_variable_name] = STATE(3060), + [sym__expr] = STATE(2995), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_choices] = STATE(5235), + [sym_others] = STATE(5334), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1960), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [491] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(613), + [sym_selected_expressions] = STATE(6456), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [492] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(582), + [sym_selected_expressions] = STATE(5966), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [493] = { + [sym_range_attribute_name] = STATE(5334), + [sym_ascending_range] = STATE(5334), + [sym_descending_range] = STATE(5334), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3006), + [sym_selected_name] = STATE(3006), + [sym_ambiguous_name] = STATE(3007), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(3060), + [sym_external_signal_name] = STATE(3060), + [sym_external_variable_name] = STATE(3060), + [sym__expr] = STATE(2995), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_choices] = STATE(5807), + [sym_others] = STATE(5334), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1960), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [494] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3328), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(604), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(1980), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [495] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__in] = STATE(3654), + [sym__out] = STATE(3654), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3398), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_force_mode] = STATE(594), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(1982), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [aux_sym__in_token1] = ACTIONS(1950), + [aux_sym__out_token1] = ACTIONS(1950), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [496] = { + [sym_range_attribute_name] = STATE(5393), + [sym_ascending_range] = STATE(5393), + [sym_descending_range] = STATE(5393), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3054), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_choices] = STATE(6508), + [sym_others] = STATE(5393), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [497] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(5554), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(6432), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [498] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6268), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [499] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(5382), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(7309), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [500] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(5559), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(6451), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [501] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6455), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [502] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6453), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [503] = { + [sym_range_attribute_name] = STATE(5327), + [sym_ascending_range] = STATE(5327), + [sym_descending_range] = STATE(5327), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3006), + [sym_selected_name] = STATE(3006), + [sym_ambiguous_name] = STATE(3007), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(3060), + [sym_external_signal_name] = STATE(3060), + [sym_external_variable_name] = STATE(3060), + [sym__expr] = STATE(3064), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(5327), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1960), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [504] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6632), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [505] = { + [sym_basic_identifier] = ACTIONS(1984), + [aux_sym_entity_declaration_token2] = ACTIONS(1986), + [anon_sym_SEMI] = ACTIONS(1986), + [aux_sym_block_configuration_token1] = ACTIONS(1986), + [anon_sym_LPAREN] = ACTIONS(1986), + [anon_sym_RPAREN] = ACTIONS(1986), + [anon_sym_COMMA] = ACTIONS(1986), + [anon_sym_LBRACK] = ACTIONS(1986), + [anon_sym_RBRACK] = ACTIONS(1986), + [aux_sym_range_constraint_token1] = ACTIONS(1986), + [aux_sym_ascending_range_token1] = ACTIONS(1986), + [aux_sym_descending_range_token1] = ACTIONS(1986), + [aux_sym_physical_type_definition_token1] = ACTIONS(1986), + [aux_sym_open_token1] = ACTIONS(1986), + [aux_sym_signal_kind_token1] = ACTIONS(1986), + [aux_sym_signal_kind_token2] = ACTIONS(1986), + [anon_sym_EQ_GT] = ACTIONS(1986), + [anon_sym_DOT] = ACTIONS(1986), + [anon_sym_SQUOTE] = ACTIONS(1986), + [anon_sym_LT_LT] = ACTIONS(1986), + [anon_sym_GT_GT] = ACTIONS(1986), + [anon_sym_COLON_EQ] = ACTIONS(1986), + [aux_sym_inertial_expression_token1] = ACTIONS(1986), + [aux_sym_reduction_token1] = ACTIONS(1986), + [aux_sym_reduction_token2] = ACTIONS(1986), + [aux_sym_reduction_token3] = ACTIONS(1986), + [aux_sym_reduction_token4] = ACTIONS(1986), + [aux_sym_reduction_token5] = ACTIONS(1986), + [aux_sym_reduction_token6] = ACTIONS(1986), + [anon_sym_PLUS] = ACTIONS(1986), + [anon_sym_DASH] = ACTIONS(1984), + [anon_sym_LT] = ACTIONS(1984), + [anon_sym_GT] = ACTIONS(1984), + [anon_sym_EQ2] = ACTIONS(1984), + [anon_sym_LT_EQ] = ACTIONS(1986), + [anon_sym_GT_EQ] = ACTIONS(1986), + [anon_sym_SLASH_EQ] = ACTIONS(1986), + [anon_sym_QMARK_LT] = ACTIONS(1984), + [anon_sym_QMARK_GT] = ACTIONS(1984), + [anon_sym_QMARK_EQ] = ACTIONS(1986), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1986), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1986), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1986), + [aux_sym_shift_expression_token1] = ACTIONS(1986), + [aux_sym_shift_expression_token2] = ACTIONS(1986), + [aux_sym_shift_expression_token3] = ACTIONS(1986), + [aux_sym_shift_expression_token4] = ACTIONS(1986), + [aux_sym_shift_expression_token5] = ACTIONS(1986), + [aux_sym_shift_expression_token6] = ACTIONS(1986), + [anon_sym_AMP] = ACTIONS(1986), + [anon_sym_STAR] = ACTIONS(1984), + [anon_sym_SLASH] = ACTIONS(1984), + [aux_sym_term_token1] = ACTIONS(1986), + [aux_sym_term_token2] = ACTIONS(1986), + [anon_sym_STAR_STAR] = ACTIONS(1986), + [anon_sym_PIPE] = ACTIONS(1986), + [aux_sym__report_token1] = ACTIONS(1986), + [aux_sym__severity_token1] = ACTIONS(1986), + [aux_sym__after_token1] = ACTIONS(1986), + [aux_sym__when_clause_token1] = ACTIONS(1986), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1986), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1986), + [aux_sym_if_token1] = ACTIONS(1986), + [aux_sym_loop_statement_token1] = ACTIONS(1986), + [aux_sym_for_generate_statement_token1] = ACTIONS(1986), + [sym_extended_identifier] = ACTIONS(1986), + [aux_sym_string_literal_token1] = ACTIONS(1986), + [aux_sym_string_literal_token2] = ACTIONS(1984), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1986), + }, + [506] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(5965), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [507] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(5422), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(6186), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [508] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6096), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [509] = { + [sym_basic_identifier] = ACTIONS(1988), + [aux_sym_entity_declaration_token2] = ACTIONS(1990), + [anon_sym_SEMI] = ACTIONS(1990), + [aux_sym_block_configuration_token1] = ACTIONS(1990), + [anon_sym_LPAREN] = ACTIONS(1990), + [anon_sym_RPAREN] = ACTIONS(1990), + [anon_sym_COMMA] = ACTIONS(1990), + [anon_sym_LBRACK] = ACTIONS(1990), + [anon_sym_RBRACK] = ACTIONS(1990), + [aux_sym_range_constraint_token1] = ACTIONS(1990), + [aux_sym_ascending_range_token1] = ACTIONS(1990), + [aux_sym_descending_range_token1] = ACTIONS(1990), + [aux_sym_physical_type_definition_token1] = ACTIONS(1990), + [aux_sym_open_token1] = ACTIONS(1990), + [aux_sym_signal_kind_token1] = ACTIONS(1990), + [aux_sym_signal_kind_token2] = ACTIONS(1990), + [anon_sym_EQ_GT] = ACTIONS(1990), + [anon_sym_DOT] = ACTIONS(1990), + [anon_sym_SQUOTE] = ACTIONS(1990), + [anon_sym_LT_LT] = ACTIONS(1990), + [anon_sym_GT_GT] = ACTIONS(1990), + [anon_sym_COLON_EQ] = ACTIONS(1990), + [aux_sym_inertial_expression_token1] = ACTIONS(1990), + [aux_sym_reduction_token1] = ACTIONS(1990), + [aux_sym_reduction_token2] = ACTIONS(1990), + [aux_sym_reduction_token3] = ACTIONS(1990), + [aux_sym_reduction_token4] = ACTIONS(1990), + [aux_sym_reduction_token5] = ACTIONS(1990), + [aux_sym_reduction_token6] = ACTIONS(1990), + [anon_sym_PLUS] = ACTIONS(1990), + [anon_sym_DASH] = ACTIONS(1988), + [anon_sym_LT] = ACTIONS(1988), + [anon_sym_GT] = ACTIONS(1988), + [anon_sym_EQ2] = ACTIONS(1988), + [anon_sym_LT_EQ] = ACTIONS(1990), + [anon_sym_GT_EQ] = ACTIONS(1990), + [anon_sym_SLASH_EQ] = ACTIONS(1990), + [anon_sym_QMARK_LT] = ACTIONS(1988), + [anon_sym_QMARK_GT] = ACTIONS(1988), + [anon_sym_QMARK_EQ] = ACTIONS(1990), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1990), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1990), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1990), + [aux_sym_shift_expression_token1] = ACTIONS(1990), + [aux_sym_shift_expression_token2] = ACTIONS(1990), + [aux_sym_shift_expression_token3] = ACTIONS(1990), + [aux_sym_shift_expression_token4] = ACTIONS(1990), + [aux_sym_shift_expression_token5] = ACTIONS(1990), + [aux_sym_shift_expression_token6] = ACTIONS(1990), + [anon_sym_AMP] = ACTIONS(1990), + [anon_sym_STAR] = ACTIONS(1988), + [anon_sym_SLASH] = ACTIONS(1988), + [aux_sym_term_token1] = ACTIONS(1990), + [aux_sym_term_token2] = ACTIONS(1990), + [anon_sym_STAR_STAR] = ACTIONS(1990), + [anon_sym_PIPE] = ACTIONS(1990), + [aux_sym__report_token1] = ACTIONS(1990), + [aux_sym__severity_token1] = ACTIONS(1990), + [aux_sym__after_token1] = ACTIONS(1990), + [aux_sym__when_clause_token1] = ACTIONS(1990), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1990), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1990), + [aux_sym_if_token1] = ACTIONS(1990), + [aux_sym_loop_statement_token1] = ACTIONS(1990), + [aux_sym_for_generate_statement_token1] = ACTIONS(1990), + [sym_extended_identifier] = ACTIONS(1990), + [aux_sym_string_literal_token1] = ACTIONS(1990), + [aux_sym_string_literal_token2] = ACTIONS(1988), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1990), + }, + [510] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(5964), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [511] = { + [sym_basic_identifier] = ACTIONS(1944), + [aux_sym_entity_declaration_token2] = ACTIONS(1946), + [anon_sym_SEMI] = ACTIONS(1946), + [aux_sym_block_configuration_token1] = ACTIONS(1946), + [anon_sym_LPAREN] = ACTIONS(1946), + [anon_sym_RPAREN] = ACTIONS(1946), + [anon_sym_COMMA] = ACTIONS(1946), + [anon_sym_LBRACK] = ACTIONS(1946), + [anon_sym_RBRACK] = ACTIONS(1946), + [aux_sym_range_constraint_token1] = ACTIONS(1946), + [aux_sym_ascending_range_token1] = ACTIONS(1946), + [aux_sym_descending_range_token1] = ACTIONS(1946), + [aux_sym_physical_type_definition_token1] = ACTIONS(1946), + [aux_sym_open_token1] = ACTIONS(1946), + [aux_sym_signal_kind_token1] = ACTIONS(1946), + [aux_sym_signal_kind_token2] = ACTIONS(1946), + [anon_sym_EQ_GT] = ACTIONS(1946), + [anon_sym_DOT] = ACTIONS(1946), + [anon_sym_SQUOTE] = ACTIONS(1946), + [anon_sym_LT_LT] = ACTIONS(1946), + [anon_sym_GT_GT] = ACTIONS(1946), + [anon_sym_COLON_EQ] = ACTIONS(1946), + [aux_sym_inertial_expression_token1] = ACTIONS(1946), + [aux_sym_reduction_token1] = ACTIONS(1946), + [aux_sym_reduction_token2] = ACTIONS(1946), + [aux_sym_reduction_token3] = ACTIONS(1946), + [aux_sym_reduction_token4] = ACTIONS(1946), + [aux_sym_reduction_token5] = ACTIONS(1946), + [aux_sym_reduction_token6] = ACTIONS(1946), + [anon_sym_PLUS] = ACTIONS(1946), + [anon_sym_DASH] = ACTIONS(1944), + [anon_sym_LT] = ACTIONS(1944), + [anon_sym_GT] = ACTIONS(1944), + [anon_sym_EQ2] = ACTIONS(1944), + [anon_sym_LT_EQ] = ACTIONS(1946), + [anon_sym_GT_EQ] = ACTIONS(1946), + [anon_sym_SLASH_EQ] = ACTIONS(1946), + [anon_sym_QMARK_LT] = ACTIONS(1944), + [anon_sym_QMARK_GT] = ACTIONS(1944), + [anon_sym_QMARK_EQ] = ACTIONS(1946), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1946), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1946), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1946), + [aux_sym_shift_expression_token1] = ACTIONS(1946), + [aux_sym_shift_expression_token2] = ACTIONS(1946), + [aux_sym_shift_expression_token3] = ACTIONS(1946), + [aux_sym_shift_expression_token4] = ACTIONS(1946), + [aux_sym_shift_expression_token5] = ACTIONS(1946), + [aux_sym_shift_expression_token6] = ACTIONS(1946), + [anon_sym_AMP] = ACTIONS(1946), + [anon_sym_STAR] = ACTIONS(1944), + [anon_sym_SLASH] = ACTIONS(1944), + [aux_sym_term_token1] = ACTIONS(1946), + [aux_sym_term_token2] = ACTIONS(1946), + [anon_sym_STAR_STAR] = ACTIONS(1946), + [anon_sym_PIPE] = ACTIONS(1946), + [aux_sym__report_token1] = ACTIONS(1946), + [aux_sym__severity_token1] = ACTIONS(1946), + [aux_sym__after_token1] = ACTIONS(1946), + [aux_sym__when_clause_token1] = ACTIONS(1946), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1946), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1946), + [aux_sym_if_token1] = ACTIONS(1946), + [aux_sym_loop_statement_token1] = ACTIONS(1946), + [aux_sym_for_generate_statement_token1] = ACTIONS(1946), + [sym_extended_identifier] = ACTIONS(1946), + [aux_sym_string_literal_token1] = ACTIONS(1946), + [aux_sym_string_literal_token2] = ACTIONS(1944), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1946), + }, + [512] = { + [sym_signature] = STATE(5304), + [sym__attribute_designator] = STATE(597), + [sym__predefined_attribute_designator] = STATE(649), + [sym__predefined_attribute_designator_with_expression] = STATE(648), + [sym_basic_identifier] = ACTIONS(1992), + [aux_sym_entity_declaration_token2] = ACTIONS(1994), + [anon_sym_SEMI] = ACTIONS(1994), + [aux_sym_block_configuration_token1] = ACTIONS(1994), + [anon_sym_LPAREN] = ACTIONS(1996), + [anon_sym_RPAREN] = ACTIONS(1994), + [anon_sym_COMMA] = ACTIONS(1994), + [anon_sym_LBRACK] = ACTIONS(1999), + [anon_sym_RBRACK] = ACTIONS(1994), + [aux_sym_range_constraint_token1] = ACTIONS(1994), + [aux_sym_ascending_range_token1] = ACTIONS(1994), + [aux_sym_descending_range_token1] = ACTIONS(1994), + [aux_sym_physical_type_definition_token1] = ACTIONS(1994), + [aux_sym_open_token1] = ACTIONS(1994), + [aux_sym_signal_kind_token1] = ACTIONS(1994), + [aux_sym_signal_kind_token2] = ACTIONS(1994), + [anon_sym_EQ_GT] = ACTIONS(1994), + [anon_sym_SQUOTE] = ACTIONS(2001), + [anon_sym_GT_GT] = ACTIONS(1994), + [anon_sym_COLON_EQ] = ACTIONS(1994), + [aux_sym_inertial_expression_token1] = ACTIONS(1994), + [aux_sym_reduction_token1] = ACTIONS(1994), + [aux_sym_reduction_token2] = ACTIONS(1994), + [aux_sym_reduction_token3] = ACTIONS(1994), + [aux_sym_reduction_token4] = ACTIONS(1994), + [aux_sym_reduction_token5] = ACTIONS(1994), + [aux_sym_reduction_token6] = ACTIONS(1994), + [anon_sym_PLUS] = ACTIONS(1994), + [anon_sym_DASH] = ACTIONS(1992), + [anon_sym_LT] = ACTIONS(1992), + [anon_sym_GT] = ACTIONS(1992), + [anon_sym_EQ2] = ACTIONS(1992), + [anon_sym_LT_EQ] = ACTIONS(1994), + [anon_sym_GT_EQ] = ACTIONS(1994), + [anon_sym_SLASH_EQ] = ACTIONS(1994), + [anon_sym_QMARK_LT] = ACTIONS(1992), + [anon_sym_QMARK_GT] = ACTIONS(1992), + [anon_sym_QMARK_EQ] = ACTIONS(1994), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1994), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1994), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1994), + [aux_sym_shift_expression_token1] = ACTIONS(1994), + [aux_sym_shift_expression_token2] = ACTIONS(1994), + [aux_sym_shift_expression_token3] = ACTIONS(1994), + [aux_sym_shift_expression_token4] = ACTIONS(1994), + [aux_sym_shift_expression_token5] = ACTIONS(1994), + [aux_sym_shift_expression_token6] = ACTIONS(1994), + [anon_sym_AMP] = ACTIONS(1994), + [anon_sym_STAR] = ACTIONS(1992), + [anon_sym_SLASH] = ACTIONS(1992), + [aux_sym_term_token1] = ACTIONS(1994), + [aux_sym_term_token2] = ACTIONS(1994), + [anon_sym_STAR_STAR] = ACTIONS(1994), + [anon_sym_PIPE] = ACTIONS(1994), + [aux_sym__report_token1] = ACTIONS(1994), + [aux_sym__severity_token1] = ACTIONS(1994), + [aux_sym__after_token1] = ACTIONS(1994), + [aux_sym__when_clause_token1] = ACTIONS(1994), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1994), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1994), + [aux_sym_if_token1] = ACTIONS(1994), + [aux_sym_loop_statement_token1] = ACTIONS(1994), + [aux_sym_for_generate_statement_token1] = ACTIONS(1994), + [sym_extended_identifier] = ACTIONS(1994), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1994), + }, + [513] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(5510), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(7079), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [514] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6339), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [515] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6590), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [516] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6324), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [517] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6587), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [518] = { + [sym_range_attribute_name] = STATE(5327), + [sym_ascending_range] = STATE(5327), + [sym_descending_range] = STATE(5327), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3105), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_others] = STATE(5327), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_others_token1] = ACTIONS(615), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [519] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6865), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [520] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(5492), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(7145), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [521] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6267), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [522] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6189), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [523] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(5653), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(6700), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [524] = { + [sym_basic_identifier] = ACTIONS(1938), + [aux_sym_entity_declaration_token2] = ACTIONS(1940), + [anon_sym_SEMI] = ACTIONS(1940), + [aux_sym_block_configuration_token1] = ACTIONS(1940), + [anon_sym_LPAREN] = ACTIONS(1940), + [anon_sym_RPAREN] = ACTIONS(1940), + [anon_sym_COMMA] = ACTIONS(1940), + [anon_sym_LBRACK] = ACTIONS(1940), + [anon_sym_RBRACK] = ACTIONS(1940), + [aux_sym_range_constraint_token1] = ACTIONS(1940), + [aux_sym_ascending_range_token1] = ACTIONS(1940), + [aux_sym_descending_range_token1] = ACTIONS(1940), + [aux_sym_physical_type_definition_token1] = ACTIONS(1940), + [aux_sym_open_token1] = ACTIONS(1940), + [aux_sym_signal_kind_token1] = ACTIONS(1940), + [aux_sym_signal_kind_token2] = ACTIONS(1940), + [anon_sym_EQ_GT] = ACTIONS(1940), + [anon_sym_DOT] = ACTIONS(1940), + [anon_sym_SQUOTE] = ACTIONS(1940), + [anon_sym_LT_LT] = ACTIONS(1940), + [anon_sym_GT_GT] = ACTIONS(1940), + [anon_sym_COLON_EQ] = ACTIONS(1940), + [aux_sym_inertial_expression_token1] = ACTIONS(1940), + [aux_sym_reduction_token1] = ACTIONS(1940), + [aux_sym_reduction_token2] = ACTIONS(1940), + [aux_sym_reduction_token3] = ACTIONS(1940), + [aux_sym_reduction_token4] = ACTIONS(1940), + [aux_sym_reduction_token5] = ACTIONS(1940), + [aux_sym_reduction_token6] = ACTIONS(1940), + [anon_sym_PLUS] = ACTIONS(1940), + [anon_sym_DASH] = ACTIONS(1938), + [anon_sym_LT] = ACTIONS(1938), + [anon_sym_GT] = ACTIONS(1938), + [anon_sym_EQ2] = ACTIONS(1938), + [anon_sym_LT_EQ] = ACTIONS(1940), + [anon_sym_GT_EQ] = ACTIONS(1940), + [anon_sym_SLASH_EQ] = ACTIONS(1940), + [anon_sym_QMARK_LT] = ACTIONS(1938), + [anon_sym_QMARK_GT] = ACTIONS(1938), + [anon_sym_QMARK_EQ] = ACTIONS(1940), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1940), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1940), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1940), + [aux_sym_shift_expression_token1] = ACTIONS(1940), + [aux_sym_shift_expression_token2] = ACTIONS(1940), + [aux_sym_shift_expression_token3] = ACTIONS(1940), + [aux_sym_shift_expression_token4] = ACTIONS(1940), + [aux_sym_shift_expression_token5] = ACTIONS(1940), + [aux_sym_shift_expression_token6] = ACTIONS(1940), + [anon_sym_AMP] = ACTIONS(1940), + [anon_sym_STAR] = ACTIONS(1938), + [anon_sym_SLASH] = ACTIONS(1938), + [aux_sym_term_token1] = ACTIONS(1940), + [aux_sym_term_token2] = ACTIONS(1940), + [anon_sym_STAR_STAR] = ACTIONS(1940), + [anon_sym_PIPE] = ACTIONS(1940), + [aux_sym__report_token1] = ACTIONS(1940), + [aux_sym__severity_token1] = ACTIONS(1940), + [aux_sym__after_token1] = ACTIONS(1940), + [aux_sym__when_clause_token1] = ACTIONS(1940), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1940), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1940), + [aux_sym_if_token1] = ACTIONS(1940), + [aux_sym_loop_statement_token1] = ACTIONS(1940), + [aux_sym_for_generate_statement_token1] = ACTIONS(1940), + [sym_extended_identifier] = ACTIONS(1940), + [aux_sym_string_literal_token1] = ACTIONS(1940), + [aux_sym_string_literal_token2] = ACTIONS(1938), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1940), + }, + [525] = { + [sym_range_attribute_name] = STATE(1391), + [sym_ascending_range] = STATE(1391), + [sym_descending_range] = STATE(1391), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__any] = STATE(5831), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_GT] = ACTIONS(1176), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [526] = { + [sym_basic_identifier] = ACTIONS(2004), + [aux_sym_entity_declaration_token2] = ACTIONS(2006), + [anon_sym_SEMI] = ACTIONS(2006), + [aux_sym_block_configuration_token1] = ACTIONS(2006), + [anon_sym_LPAREN] = ACTIONS(2006), + [anon_sym_RPAREN] = ACTIONS(2006), + [anon_sym_COMMA] = ACTIONS(2006), + [anon_sym_LBRACK] = ACTIONS(2006), + [anon_sym_RBRACK] = ACTIONS(2006), + [aux_sym_range_constraint_token1] = ACTIONS(2006), + [aux_sym_ascending_range_token1] = ACTIONS(2006), + [aux_sym_descending_range_token1] = ACTIONS(2006), + [aux_sym_physical_type_definition_token1] = ACTIONS(2006), + [aux_sym_open_token1] = ACTIONS(2006), + [aux_sym_signal_kind_token1] = ACTIONS(2006), + [aux_sym_signal_kind_token2] = ACTIONS(2006), + [anon_sym_EQ_GT] = ACTIONS(2006), + [anon_sym_DOT] = ACTIONS(2006), + [anon_sym_SQUOTE] = ACTIONS(2006), + [anon_sym_LT_LT] = ACTIONS(2006), + [anon_sym_GT_GT] = ACTIONS(2006), + [anon_sym_COLON_EQ] = ACTIONS(2006), + [aux_sym_inertial_expression_token1] = ACTIONS(2006), + [aux_sym_reduction_token1] = ACTIONS(2006), + [aux_sym_reduction_token2] = ACTIONS(2006), + [aux_sym_reduction_token3] = ACTIONS(2006), + [aux_sym_reduction_token4] = ACTIONS(2006), + [aux_sym_reduction_token5] = ACTIONS(2006), + [aux_sym_reduction_token6] = ACTIONS(2006), + [anon_sym_PLUS] = ACTIONS(2006), + [anon_sym_DASH] = ACTIONS(2004), + [anon_sym_LT] = ACTIONS(2004), + [anon_sym_GT] = ACTIONS(2004), + [anon_sym_EQ2] = ACTIONS(2004), + [anon_sym_LT_EQ] = ACTIONS(2006), + [anon_sym_GT_EQ] = ACTIONS(2006), + [anon_sym_SLASH_EQ] = ACTIONS(2006), + [anon_sym_QMARK_LT] = ACTIONS(2004), + [anon_sym_QMARK_GT] = ACTIONS(2004), + [anon_sym_QMARK_EQ] = ACTIONS(2006), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2006), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2006), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2006), + [aux_sym_shift_expression_token1] = ACTIONS(2006), + [aux_sym_shift_expression_token2] = ACTIONS(2006), + [aux_sym_shift_expression_token3] = ACTIONS(2006), + [aux_sym_shift_expression_token4] = ACTIONS(2006), + [aux_sym_shift_expression_token5] = ACTIONS(2006), + [aux_sym_shift_expression_token6] = ACTIONS(2006), + [anon_sym_AMP] = ACTIONS(2006), + [anon_sym_STAR] = ACTIONS(2004), + [anon_sym_SLASH] = ACTIONS(2004), + [aux_sym_term_token1] = ACTIONS(2006), + [aux_sym_term_token2] = ACTIONS(2006), + [anon_sym_STAR_STAR] = ACTIONS(2006), + [anon_sym_PIPE] = ACTIONS(2006), + [aux_sym__report_token1] = ACTIONS(2006), + [aux_sym__severity_token1] = ACTIONS(2006), + [aux_sym__after_token1] = ACTIONS(2006), + [aux_sym__when_clause_token1] = ACTIONS(2006), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2006), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2006), + [aux_sym_if_token1] = ACTIONS(2006), + [aux_sym_loop_statement_token1] = ACTIONS(2006), + [aux_sym_for_generate_statement_token1] = ACTIONS(2006), + [sym_extended_identifier] = ACTIONS(2006), + [aux_sym_string_literal_token1] = ACTIONS(2006), + [aux_sym_string_literal_token2] = ACTIONS(2004), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2006), + }, + [527] = { + [sym_signature] = STATE(5304), + [sym__attribute_designator] = STATE(597), + [sym__predefined_attribute_designator] = STATE(649), + [sym__predefined_attribute_designator_with_expression] = STATE(648), + [sym_basic_identifier] = ACTIONS(1992), + [aux_sym_entity_declaration_token2] = ACTIONS(1994), + [anon_sym_SEMI] = ACTIONS(1994), + [aux_sym_block_configuration_token1] = ACTIONS(1994), + [anon_sym_LPAREN] = ACTIONS(1996), + [anon_sym_RPAREN] = ACTIONS(1994), + [anon_sym_COMMA] = ACTIONS(1994), + [anon_sym_LBRACK] = ACTIONS(1999), + [anon_sym_RBRACK] = ACTIONS(1994), + [aux_sym_range_constraint_token1] = ACTIONS(1994), + [aux_sym_ascending_range_token1] = ACTIONS(1994), + [aux_sym_descending_range_token1] = ACTIONS(1994), + [aux_sym_physical_type_definition_token1] = ACTIONS(1994), + [aux_sym_open_token1] = ACTIONS(1994), + [aux_sym_signal_kind_token1] = ACTIONS(1994), + [aux_sym_signal_kind_token2] = ACTIONS(1994), + [anon_sym_EQ_GT] = ACTIONS(1994), + [anon_sym_SQUOTE] = ACTIONS(2008), + [anon_sym_GT_GT] = ACTIONS(1994), + [anon_sym_COLON_EQ] = ACTIONS(1994), + [aux_sym_inertial_expression_token1] = ACTIONS(1994), + [aux_sym_reduction_token1] = ACTIONS(1994), + [aux_sym_reduction_token2] = ACTIONS(1994), + [aux_sym_reduction_token3] = ACTIONS(1994), + [aux_sym_reduction_token4] = ACTIONS(1994), + [aux_sym_reduction_token5] = ACTIONS(1994), + [aux_sym_reduction_token6] = ACTIONS(1994), + [anon_sym_PLUS] = ACTIONS(1994), + [anon_sym_DASH] = ACTIONS(1992), + [anon_sym_LT] = ACTIONS(1992), + [anon_sym_GT] = ACTIONS(1992), + [anon_sym_EQ2] = ACTIONS(1992), + [anon_sym_LT_EQ] = ACTIONS(1994), + [anon_sym_GT_EQ] = ACTIONS(1994), + [anon_sym_SLASH_EQ] = ACTIONS(1994), + [anon_sym_QMARK_LT] = ACTIONS(1992), + [anon_sym_QMARK_GT] = ACTIONS(1992), + [anon_sym_QMARK_EQ] = ACTIONS(1994), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1994), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1994), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1994), + [aux_sym_shift_expression_token1] = ACTIONS(1994), + [aux_sym_shift_expression_token2] = ACTIONS(1994), + [aux_sym_shift_expression_token3] = ACTIONS(1994), + [aux_sym_shift_expression_token4] = ACTIONS(1994), + [aux_sym_shift_expression_token5] = ACTIONS(1994), + [aux_sym_shift_expression_token6] = ACTIONS(1994), + [anon_sym_AMP] = ACTIONS(1994), + [anon_sym_STAR] = ACTIONS(1992), + [anon_sym_SLASH] = ACTIONS(1992), + [aux_sym_term_token1] = ACTIONS(1994), + [aux_sym_term_token2] = ACTIONS(1994), + [anon_sym_STAR_STAR] = ACTIONS(1994), + [anon_sym_PIPE] = ACTIONS(1994), + [aux_sym__report_token1] = ACTIONS(1994), + [aux_sym__severity_token1] = ACTIONS(1994), + [aux_sym__after_token1] = ACTIONS(1994), + [aux_sym__when_clause_token1] = ACTIONS(1994), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1994), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1994), + [aux_sym_if_token1] = ACTIONS(1994), + [aux_sym_loop_statement_token1] = ACTIONS(1994), + [aux_sym_for_generate_statement_token1] = ACTIONS(1994), + [sym_extended_identifier] = ACTIONS(1994), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1994), + }, + [528] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(5625), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_conditional_waveforms] = STATE(6812), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [529] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6078), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [530] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6278), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_selected_waveforms] = STATE(6443), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [531] = { + [sym_basic_identifier] = ACTIONS(1934), + [aux_sym_entity_declaration_token2] = ACTIONS(1936), + [anon_sym_SEMI] = ACTIONS(1936), + [aux_sym_block_configuration_token1] = ACTIONS(1936), + [anon_sym_LPAREN] = ACTIONS(1936), + [anon_sym_RPAREN] = ACTIONS(1936), + [anon_sym_COMMA] = ACTIONS(1936), + [anon_sym_LBRACK] = ACTIONS(1936), + [anon_sym_RBRACK] = ACTIONS(1936), + [aux_sym_range_constraint_token1] = ACTIONS(1936), + [aux_sym_ascending_range_token1] = ACTIONS(1936), + [aux_sym_descending_range_token1] = ACTIONS(1936), + [aux_sym_physical_type_definition_token1] = ACTIONS(1936), + [aux_sym_open_token1] = ACTIONS(1936), + [aux_sym_signal_kind_token1] = ACTIONS(1936), + [aux_sym_signal_kind_token2] = ACTIONS(1936), + [anon_sym_EQ_GT] = ACTIONS(1936), + [anon_sym_DOT] = ACTIONS(1936), + [anon_sym_SQUOTE] = ACTIONS(1936), + [anon_sym_LT_LT] = ACTIONS(1936), + [anon_sym_GT_GT] = ACTIONS(1936), + [anon_sym_COLON_EQ] = ACTIONS(1936), + [aux_sym_inertial_expression_token1] = ACTIONS(1936), + [aux_sym_reduction_token1] = ACTIONS(1936), + [aux_sym_reduction_token2] = ACTIONS(1936), + [aux_sym_reduction_token3] = ACTIONS(1936), + [aux_sym_reduction_token4] = ACTIONS(1936), + [aux_sym_reduction_token5] = ACTIONS(1936), + [aux_sym_reduction_token6] = ACTIONS(1936), + [anon_sym_PLUS] = ACTIONS(1936), + [anon_sym_DASH] = ACTIONS(1934), + [anon_sym_LT] = ACTIONS(1934), + [anon_sym_GT] = ACTIONS(1934), + [anon_sym_EQ2] = ACTIONS(1934), + [anon_sym_LT_EQ] = ACTIONS(1936), + [anon_sym_GT_EQ] = ACTIONS(1936), + [anon_sym_SLASH_EQ] = ACTIONS(1936), + [anon_sym_QMARK_LT] = ACTIONS(1934), + [anon_sym_QMARK_GT] = ACTIONS(1934), + [anon_sym_QMARK_EQ] = ACTIONS(1936), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1936), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1936), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1936), + [aux_sym_shift_expression_token1] = ACTIONS(1936), + [aux_sym_shift_expression_token2] = ACTIONS(1936), + [aux_sym_shift_expression_token3] = ACTIONS(1936), + [aux_sym_shift_expression_token4] = ACTIONS(1936), + [aux_sym_shift_expression_token5] = ACTIONS(1936), + [aux_sym_shift_expression_token6] = ACTIONS(1936), + [anon_sym_AMP] = ACTIONS(1936), + [anon_sym_STAR] = ACTIONS(1934), + [anon_sym_SLASH] = ACTIONS(1934), + [aux_sym_term_token1] = ACTIONS(1936), + [aux_sym_term_token2] = ACTIONS(1936), + [anon_sym_STAR_STAR] = ACTIONS(1936), + [anon_sym_PIPE] = ACTIONS(1936), + [aux_sym__report_token1] = ACTIONS(1936), + [aux_sym__severity_token1] = ACTIONS(1936), + [aux_sym__after_token1] = ACTIONS(1936), + [aux_sym__when_clause_token1] = ACTIONS(1936), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1936), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1936), + [aux_sym_if_token1] = ACTIONS(1936), + [aux_sym_loop_statement_token1] = ACTIONS(1936), + [aux_sym_for_generate_statement_token1] = ACTIONS(1936), + [sym_extended_identifier] = ACTIONS(1936), + [aux_sym_string_literal_token1] = ACTIONS(1936), + [aux_sym_string_literal_token2] = ACTIONS(1934), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1936), + }, + [532] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_expression_list] = STATE(6994), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3062), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [533] = { + [sym_use_clause] = STATE(533), + [sym_library_clause] = STATE(533), + [sym__context_item] = STATE(533), + [sym_context_reference] = STATE(533), + [aux_sym_context_clause_repeat1] = STATE(533), + [ts_builtin_sym_end] = ACTIONS(2010), + [sym_basic_identifier] = ACTIONS(2012), + [aux_sym_entity_declaration_token1] = ACTIONS(2010), + [aux_sym_entity_declaration_token4] = ACTIONS(2010), + [aux_sym_architecture_body_token1] = ACTIONS(2010), + [aux_sym_configuration_declaration_token1] = ACTIONS(2010), + [aux_sym_block_configuration_token1] = ACTIONS(2010), + [anon_sym_LPAREN] = ACTIONS(2010), + [aux_sym__procedure_specification_token1] = ACTIONS(2010), + [aux_sym__procedure_specification_token2] = ACTIONS(2010), + [aux_sym__procedure_specification_token3] = ACTIONS(2010), + [aux_sym__function_specification_token1] = ACTIONS(2010), + [aux_sym_return_token1] = ACTIONS(2010), + [aux_sym_package_declaration_token1] = ACTIONS(2010), + [aux_sym_open_token1] = ACTIONS(2010), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(2010), + [aux_sym_file_type_definition_token1] = ACTIONS(2010), + [aux_sym_subtype_declaration_token1] = ACTIONS(2010), + [aux_sym_constant_declaration_token1] = ACTIONS(2010), + [aux_sym_signal_declaration_token1] = ACTIONS(2010), + [aux_sym_variable_declaration_token1] = ACTIONS(2010), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(2010), + [aux_sym_default_token1] = ACTIONS(2010), + [aux_sym_alias_declaration_token1] = ACTIONS(2010), + [aux_sym_attribute_declaration_token1] = ACTIONS(2010), + [aux_sym_component_declaration_token1] = ACTIONS(2010), + [aux_sym_group_template_declaration_token1] = ACTIONS(2010), + [aux_sym_entity_class_token3] = ACTIONS(2010), + [aux_sym_entity_class_token4] = ACTIONS(2010), + [aux_sym_binding_indication_token1] = ACTIONS(2014), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(2010), + [aux_sym_disconnection_specification_token1] = ACTIONS(2010), + [anon_sym_LT_LT] = ACTIONS(2010), + [aux_sym_null_token1] = ACTIONS(2010), + [aux_sym_wait_statement_token1] = ACTIONS(2010), + [aux_sym_assertion_statement_token1] = ACTIONS(2010), + [aux_sym_assertion_statement_token2] = ACTIONS(2010), + [aux_sym__report_token1] = ACTIONS(2010), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(2010), + [aux_sym_if_statement_token1] = ACTIONS(2010), + [aux_sym_case_statement_token1] = ACTIONS(2010), + [aux_sym_loop_statement_token1] = ACTIONS(2010), + [aux_sym_while_loop_token1] = ACTIONS(2010), + [aux_sym_next_statement_token1] = ACTIONS(2010), + [aux_sym_exit_statement_token1] = ACTIONS(2010), + [aux_sym_block_statement_token1] = ACTIONS(2010), + [aux_sym_process_statement_token1] = ACTIONS(2010), + [aux_sym_library_clause_token1] = ACTIONS(2017), + [aux_sym_context_declaration_token1] = ACTIONS(2020), + [sym_extended_identifier] = ACTIONS(2010), + [aux_sym_character_literal_token1] = ACTIONS(2010), + [aux_sym_character_literal_token2] = ACTIONS(2012), + [aux_sym_string_literal_token1] = ACTIONS(2010), + [aux_sym_string_literal_token2] = ACTIONS(2012), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(2012), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(2010), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(2012), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(2010), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(2010), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(2010), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(2010), + [aux_sym_PSL_VProp_token1] = ACTIONS(2010), + [aux_sym_PSL_VMode_token1] = ACTIONS(2010), + }, + [534] = { + [sym_range_attribute_name] = STATE(6528), + [sym_ascending_range] = STATE(6528), + [sym_descending_range] = STATE(6528), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym__PSL_Range] = STATE(6528), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [535] = { + [sym_range_attribute_name] = STATE(6279), + [sym_ascending_range] = STATE(6279), + [sym_descending_range] = STATE(6279), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_expression_list] = STATE(6280), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3062), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [536] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(6821), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5532), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [537] = { + [sym_use_clause] = STATE(533), + [sym_library_clause] = STATE(533), + [sym__context_item] = STATE(533), + [sym_context_reference] = STATE(533), + [aux_sym_context_clause_repeat1] = STATE(533), + [ts_builtin_sym_end] = ACTIONS(2023), + [sym_basic_identifier] = ACTIONS(2025), + [aux_sym_entity_declaration_token1] = ACTIONS(2023), + [aux_sym_entity_declaration_token4] = ACTIONS(2023), + [aux_sym_architecture_body_token1] = ACTIONS(2023), + [aux_sym_configuration_declaration_token1] = ACTIONS(2023), + [aux_sym_block_configuration_token1] = ACTIONS(2023), + [anon_sym_LPAREN] = ACTIONS(2023), + [aux_sym__procedure_specification_token1] = ACTIONS(2023), + [aux_sym__procedure_specification_token2] = ACTIONS(2023), + [aux_sym__procedure_specification_token3] = ACTIONS(2023), + [aux_sym__function_specification_token1] = ACTIONS(2023), + [aux_sym_return_token1] = ACTIONS(2023), + [aux_sym_package_declaration_token1] = ACTIONS(2023), + [aux_sym_open_token1] = ACTIONS(2023), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(2023), + [aux_sym_file_type_definition_token1] = ACTIONS(2023), + [aux_sym_subtype_declaration_token1] = ACTIONS(2023), + [aux_sym_constant_declaration_token1] = ACTIONS(2023), + [aux_sym_signal_declaration_token1] = ACTIONS(2023), + [aux_sym_variable_declaration_token1] = ACTIONS(2023), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(2023), + [aux_sym_default_token1] = ACTIONS(2023), + [aux_sym_alias_declaration_token1] = ACTIONS(2023), + [aux_sym_attribute_declaration_token1] = ACTIONS(2023), + [aux_sym_component_declaration_token1] = ACTIONS(2023), + [aux_sym_group_template_declaration_token1] = ACTIONS(2023), + [aux_sym_entity_class_token3] = ACTIONS(2023), + [aux_sym_entity_class_token4] = ACTIONS(2023), + [aux_sym_binding_indication_token1] = ACTIONS(59), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(2023), + [aux_sym_disconnection_specification_token1] = ACTIONS(2023), + [anon_sym_LT_LT] = ACTIONS(2023), + [aux_sym_null_token1] = ACTIONS(2023), + [aux_sym_wait_statement_token1] = ACTIONS(2023), + [aux_sym_assertion_statement_token1] = ACTIONS(2023), + [aux_sym_assertion_statement_token2] = ACTIONS(2023), + [aux_sym__report_token1] = ACTIONS(2023), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(2023), + [aux_sym_if_statement_token1] = ACTIONS(2023), + [aux_sym_case_statement_token1] = ACTIONS(2023), + [aux_sym_loop_statement_token1] = ACTIONS(2023), + [aux_sym_while_loop_token1] = ACTIONS(2023), + [aux_sym_next_statement_token1] = ACTIONS(2023), + [aux_sym_exit_statement_token1] = ACTIONS(2023), + [aux_sym_block_statement_token1] = ACTIONS(2023), + [aux_sym_process_statement_token1] = ACTIONS(2023), + [aux_sym_library_clause_token1] = ACTIONS(95), + [aux_sym_context_declaration_token1] = ACTIONS(2027), + [sym_extended_identifier] = ACTIONS(2023), + [aux_sym_character_literal_token1] = ACTIONS(2023), + [aux_sym_character_literal_token2] = ACTIONS(2025), + [aux_sym_string_literal_token1] = ACTIONS(2023), + [aux_sym_string_literal_token2] = ACTIONS(2025), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(2025), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(2023), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(2025), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(2023), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(2023), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(2023), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(2023), + [aux_sym_PSL_VProp_token1] = ACTIONS(2023), + [aux_sym_PSL_VMode_token1] = ACTIONS(2023), + }, + [538] = { + [sym_range_attribute_name] = STATE(7307), + [sym_ascending_range] = STATE(7307), + [sym_descending_range] = STATE(7307), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_expression_list] = STATE(7314), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3062), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [539] = { + [sym_signature] = STATE(5304), + [sym__attribute_designator] = STATE(612), + [sym__predefined_attribute_designator] = STATE(609), + [sym__predefined_attribute_designator_with_expression] = STATE(592), + [sym_basic_identifier] = ACTIONS(2029), + [aux_sym_entity_declaration_token2] = ACTIONS(2031), + [anon_sym_SEMI] = ACTIONS(2031), + [aux_sym_block_configuration_token1] = ACTIONS(2031), + [anon_sym_LPAREN] = ACTIONS(2033), + [anon_sym_RPAREN] = ACTIONS(2031), + [anon_sym_COMMA] = ACTIONS(2031), + [anon_sym_LBRACK] = ACTIONS(1999), + [anon_sym_RBRACK] = ACTIONS(2031), + [aux_sym_ascending_range_token1] = ACTIONS(2031), + [aux_sym_descending_range_token1] = ACTIONS(2031), + [aux_sym_physical_type_definition_token1] = ACTIONS(2031), + [aux_sym_open_token1] = ACTIONS(2031), + [aux_sym_signal_kind_token1] = ACTIONS(2031), + [aux_sym_signal_kind_token2] = ACTIONS(2031), + [anon_sym_EQ_GT] = ACTIONS(2031), + [anon_sym_SQUOTE] = ACTIONS(2008), + [anon_sym_GT_GT] = ACTIONS(2031), + [anon_sym_COLON_EQ] = ACTIONS(2031), + [aux_sym_inertial_expression_token1] = ACTIONS(2031), + [aux_sym_reduction_token1] = ACTIONS(2031), + [aux_sym_reduction_token2] = ACTIONS(2031), + [aux_sym_reduction_token3] = ACTIONS(2031), + [aux_sym_reduction_token4] = ACTIONS(2031), + [aux_sym_reduction_token5] = ACTIONS(2031), + [aux_sym_reduction_token6] = ACTIONS(2031), + [anon_sym_PLUS] = ACTIONS(2031), + [anon_sym_DASH] = ACTIONS(2029), + [anon_sym_LT] = ACTIONS(2029), + [anon_sym_GT] = ACTIONS(2029), + [anon_sym_EQ2] = ACTIONS(2029), + [anon_sym_LT_EQ] = ACTIONS(2031), + [anon_sym_GT_EQ] = ACTIONS(2031), + [anon_sym_SLASH_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT] = ACTIONS(2029), + [anon_sym_QMARK_GT] = ACTIONS(2029), + [anon_sym_QMARK_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2031), + [aux_sym_shift_expression_token1] = ACTIONS(2031), + [aux_sym_shift_expression_token2] = ACTIONS(2031), + [aux_sym_shift_expression_token3] = ACTIONS(2031), + [aux_sym_shift_expression_token4] = ACTIONS(2031), + [aux_sym_shift_expression_token5] = ACTIONS(2031), + [aux_sym_shift_expression_token6] = ACTIONS(2031), + [anon_sym_AMP] = ACTIONS(2031), + [anon_sym_STAR] = ACTIONS(2029), + [anon_sym_SLASH] = ACTIONS(2029), + [aux_sym_term_token1] = ACTIONS(2031), + [aux_sym_term_token2] = ACTIONS(2031), + [anon_sym_STAR_STAR] = ACTIONS(2031), + [anon_sym_PIPE] = ACTIONS(2031), + [aux_sym__report_token1] = ACTIONS(2031), + [aux_sym__severity_token1] = ACTIONS(2031), + [aux_sym__after_token1] = ACTIONS(2031), + [aux_sym__when_clause_token1] = ACTIONS(2031), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2031), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2031), + [aux_sym_if_token1] = ACTIONS(2031), + [aux_sym_loop_statement_token1] = ACTIONS(2031), + [aux_sym_for_generate_statement_token1] = ACTIONS(2031), + [sym_extended_identifier] = ACTIONS(2031), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2031), + }, + [540] = { + [sym_range_attribute_name] = STATE(6083), + [sym_ascending_range] = STATE(6083), + [sym_descending_range] = STATE(6083), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_expression_list] = STATE(6084), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3062), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [541] = { + [sym_signature] = STATE(5304), + [sym__attribute_designator] = STATE(597), + [sym__predefined_attribute_designator] = STATE(649), + [sym__predefined_attribute_designator_with_expression] = STATE(648), + [sym_basic_identifier] = ACTIONS(2029), + [aux_sym_entity_declaration_token2] = ACTIONS(2031), + [anon_sym_SEMI] = ACTIONS(2031), + [aux_sym_block_configuration_token1] = ACTIONS(2031), + [anon_sym_LPAREN] = ACTIONS(2035), + [anon_sym_RPAREN] = ACTIONS(2031), + [anon_sym_COMMA] = ACTIONS(2031), + [anon_sym_LBRACK] = ACTIONS(1999), + [anon_sym_RBRACK] = ACTIONS(2031), + [aux_sym_ascending_range_token1] = ACTIONS(2031), + [aux_sym_descending_range_token1] = ACTIONS(2031), + [aux_sym_physical_type_definition_token1] = ACTIONS(2031), + [aux_sym_open_token1] = ACTIONS(2031), + [aux_sym_signal_kind_token1] = ACTIONS(2031), + [aux_sym_signal_kind_token2] = ACTIONS(2031), + [anon_sym_EQ_GT] = ACTIONS(2031), + [anon_sym_SQUOTE] = ACTIONS(2001), + [anon_sym_GT_GT] = ACTIONS(2031), + [anon_sym_COLON_EQ] = ACTIONS(2031), + [aux_sym_inertial_expression_token1] = ACTIONS(2031), + [aux_sym_reduction_token1] = ACTIONS(2031), + [aux_sym_reduction_token2] = ACTIONS(2031), + [aux_sym_reduction_token3] = ACTIONS(2031), + [aux_sym_reduction_token4] = ACTIONS(2031), + [aux_sym_reduction_token5] = ACTIONS(2031), + [aux_sym_reduction_token6] = ACTIONS(2031), + [anon_sym_PLUS] = ACTIONS(2031), + [anon_sym_DASH] = ACTIONS(2029), + [anon_sym_LT] = ACTIONS(2029), + [anon_sym_GT] = ACTIONS(2029), + [anon_sym_EQ2] = ACTIONS(2029), + [anon_sym_LT_EQ] = ACTIONS(2031), + [anon_sym_GT_EQ] = ACTIONS(2031), + [anon_sym_SLASH_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT] = ACTIONS(2029), + [anon_sym_QMARK_GT] = ACTIONS(2029), + [anon_sym_QMARK_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2031), + [aux_sym_shift_expression_token1] = ACTIONS(2031), + [aux_sym_shift_expression_token2] = ACTIONS(2031), + [aux_sym_shift_expression_token3] = ACTIONS(2031), + [aux_sym_shift_expression_token4] = ACTIONS(2031), + [aux_sym_shift_expression_token5] = ACTIONS(2031), + [aux_sym_shift_expression_token6] = ACTIONS(2031), + [anon_sym_AMP] = ACTIONS(2031), + [anon_sym_STAR] = ACTIONS(2029), + [anon_sym_SLASH] = ACTIONS(2029), + [aux_sym_term_token1] = ACTIONS(2031), + [aux_sym_term_token2] = ACTIONS(2031), + [anon_sym_STAR_STAR] = ACTIONS(2031), + [anon_sym_PIPE] = ACTIONS(2031), + [aux_sym__report_token1] = ACTIONS(2031), + [aux_sym__severity_token1] = ACTIONS(2031), + [aux_sym__after_token1] = ACTIONS(2031), + [aux_sym__when_clause_token1] = ACTIONS(2031), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2031), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2031), + [aux_sym_if_token1] = ACTIONS(2031), + [aux_sym_loop_statement_token1] = ACTIONS(2031), + [aux_sym_for_generate_statement_token1] = ACTIONS(2031), + [sym_extended_identifier] = ACTIONS(2031), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2031), + }, + [542] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveforms] = STATE(5299), + [sym_unaffected] = STATE(5537), + [sym_waveform_element] = STATE(5124), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [aux_sym_unaffected_token1] = ACTIONS(1614), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [543] = { + [sym_range_attribute_name] = STATE(6191), + [sym_ascending_range] = STATE(6191), + [sym_descending_range] = STATE(6191), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_expression_list] = STATE(6192), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3062), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [544] = { + [sym_range_attribute_name] = STATE(6257), + [sym_ascending_range] = STATE(6257), + [sym_descending_range] = STATE(6257), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_expression_list] = STATE(6258), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3062), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [545] = { + [sym_range_attribute_name] = STATE(6083), + [sym_ascending_range] = STATE(6083), + [sym_descending_range] = STATE(6083), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [546] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1899), + [sym_selected_name] = STATE(1899), + [sym_ambiguous_name] = STATE(4577), + [sym_slice_name] = STATE(5847), + [sym_attribute_name] = STATE(4622), + [sym_external_constant_name] = STATE(4679), + [sym_external_signal_name] = STATE(4679), + [sym_external_variable_name] = STATE(4679), + [sym_function_call] = STATE(4653), + [sym_string_literal] = STATE(3646), + [sym_basic_identifier] = ACTIONS(2037), + [aux_sym_entity_declaration_token2] = ACTIONS(2031), + [anon_sym_SEMI] = ACTIONS(2031), + [aux_sym_block_configuration_token1] = ACTIONS(2031), + [anon_sym_RPAREN] = ACTIONS(2031), + [anon_sym_COMMA] = ACTIONS(2031), + [anon_sym_LBRACK] = ACTIONS(2031), + [aux_sym_ascending_range_token1] = ACTIONS(2031), + [aux_sym_descending_range_token1] = ACTIONS(2031), + [aux_sym_physical_type_definition_token1] = ACTIONS(2031), + [aux_sym_open_token1] = ACTIONS(2031), + [aux_sym_signal_kind_token1] = ACTIONS(2031), + [aux_sym_signal_kind_token2] = ACTIONS(2031), + [anon_sym_LT_LT] = ACTIONS(65), + [anon_sym_COLON_EQ] = ACTIONS(2031), + [aux_sym_reduction_token1] = ACTIONS(2031), + [aux_sym_reduction_token2] = ACTIONS(2031), + [aux_sym_reduction_token3] = ACTIONS(2031), + [aux_sym_reduction_token4] = ACTIONS(2031), + [aux_sym_reduction_token5] = ACTIONS(2031), + [aux_sym_reduction_token6] = ACTIONS(2031), + [anon_sym_PLUS] = ACTIONS(2031), + [anon_sym_DASH] = ACTIONS(2029), + [anon_sym_LT] = ACTIONS(2029), + [anon_sym_GT] = ACTIONS(2029), + [anon_sym_EQ2] = ACTIONS(2031), + [anon_sym_LT_EQ] = ACTIONS(2031), + [anon_sym_GT_EQ] = ACTIONS(2031), + [anon_sym_SLASH_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT] = ACTIONS(2029), + [anon_sym_QMARK_GT] = ACTIONS(2029), + [anon_sym_QMARK_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2031), + [aux_sym_shift_expression_token1] = ACTIONS(2031), + [aux_sym_shift_expression_token2] = ACTIONS(2031), + [aux_sym_shift_expression_token3] = ACTIONS(2031), + [aux_sym_shift_expression_token4] = ACTIONS(2031), + [aux_sym_shift_expression_token5] = ACTIONS(2031), + [aux_sym_shift_expression_token6] = ACTIONS(2031), + [anon_sym_AMP] = ACTIONS(2031), + [anon_sym_STAR] = ACTIONS(2029), + [anon_sym_SLASH] = ACTIONS(2029), + [aux_sym_term_token1] = ACTIONS(2031), + [aux_sym_term_token2] = ACTIONS(2031), + [anon_sym_STAR_STAR] = ACTIONS(2031), + [anon_sym_PIPE] = ACTIONS(2031), + [aux_sym__report_token1] = ACTIONS(2031), + [aux_sym__severity_token1] = ACTIONS(2031), + [aux_sym__after_token1] = ACTIONS(2031), + [aux_sym__when_clause_token1] = ACTIONS(2031), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2031), + [sym_extended_identifier] = ACTIONS(1090), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [547] = { + [sym_range_attribute_name] = STATE(2387), + [sym_ascending_range] = STATE(2387), + [sym_descending_range] = STATE(2387), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3171), + [sym_selected_name] = STATE(3171), + [sym_ambiguous_name] = STATE(3161), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3220), + [sym_external_constant_name] = STATE(3217), + [sym_external_signal_name] = STATE(3217), + [sym_external_variable_name] = STATE(3217), + [sym__expr] = STATE(3308), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(2039), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [548] = { + [sym_range_attribute_name] = STATE(2387), + [sym_ascending_range] = STATE(2387), + [sym_descending_range] = STATE(2387), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3145), + [sym_selected_name] = STATE(3145), + [sym_ambiguous_name] = STATE(3144), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3279), + [sym_external_constant_name] = STATE(3275), + [sym_external_signal_name] = STATE(3275), + [sym_external_variable_name] = STATE(3275), + [sym__expr] = STATE(3257), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(2041), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [549] = { + [sym_range_attribute_name] = STATE(2330), + [sym_ascending_range] = STATE(2330), + [sym_descending_range] = STATE(2330), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3145), + [sym_selected_name] = STATE(3145), + [sym_ambiguous_name] = STATE(3144), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3279), + [sym_external_constant_name] = STATE(3275), + [sym_external_signal_name] = STATE(3275), + [sym_external_variable_name] = STATE(3275), + [sym__expr] = STATE(3247), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(2041), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [550] = { + [sym_range_attribute_name] = STATE(1391), + [sym_ascending_range] = STATE(1391), + [sym_descending_range] = STATE(1391), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3277), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [551] = { + [sym_range_attribute_name] = STATE(7307), + [sym_ascending_range] = STATE(7307), + [sym_descending_range] = STATE(7307), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [552] = { + [sym_range_attribute_name] = STATE(1391), + [sym_ascending_range] = STATE(1391), + [sym_descending_range] = STATE(1391), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3263), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [553] = { + [sym_range_attribute_name] = STATE(1391), + [sym_ascending_range] = STATE(1391), + [sym_descending_range] = STATE(1391), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3283), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [554] = { + [sym_range_attribute_name] = STATE(6191), + [sym_ascending_range] = STATE(6191), + [sym_descending_range] = STATE(6191), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [555] = { + [sym_array_constraint] = STATE(1392), + [sym__array_element_constraint] = STATE(1393), + [sym_index_constraint] = STATE(555), + [sym_record_constraint] = STATE(1392), + [sym_basic_identifier] = ACTIONS(2043), + [aux_sym_entity_declaration_token2] = ACTIONS(2045), + [anon_sym_SEMI] = ACTIONS(2045), + [aux_sym_block_configuration_token1] = ACTIONS(2045), + [anon_sym_LPAREN] = ACTIONS(2047), + [anon_sym_RPAREN] = ACTIONS(2045), + [anon_sym_COMMA] = ACTIONS(2045), + [anon_sym_LBRACK] = ACTIONS(2045), + [anon_sym_RBRACK] = ACTIONS(2045), + [aux_sym_ascending_range_token1] = ACTIONS(2045), + [aux_sym_descending_range_token1] = ACTIONS(2045), + [aux_sym_physical_type_definition_token1] = ACTIONS(2045), + [aux_sym_open_token1] = ACTIONS(2045), + [aux_sym_signal_kind_token1] = ACTIONS(2045), + [aux_sym_signal_kind_token2] = ACTIONS(2045), + [anon_sym_EQ_GT] = ACTIONS(2045), + [anon_sym_GT_GT] = ACTIONS(2045), + [anon_sym_COLON_EQ] = ACTIONS(2045), + [aux_sym_inertial_expression_token1] = ACTIONS(2045), + [aux_sym_reduction_token1] = ACTIONS(2045), + [aux_sym_reduction_token2] = ACTIONS(2045), + [aux_sym_reduction_token3] = ACTIONS(2045), + [aux_sym_reduction_token4] = ACTIONS(2045), + [aux_sym_reduction_token5] = ACTIONS(2045), + [aux_sym_reduction_token6] = ACTIONS(2045), + [anon_sym_PLUS] = ACTIONS(2045), + [anon_sym_DASH] = ACTIONS(2043), + [anon_sym_LT] = ACTIONS(2043), + [anon_sym_GT] = ACTIONS(2043), + [anon_sym_EQ2] = ACTIONS(2043), + [anon_sym_LT_EQ] = ACTIONS(2045), + [anon_sym_GT_EQ] = ACTIONS(2045), + [anon_sym_SLASH_EQ] = ACTIONS(2045), + [anon_sym_QMARK_LT] = ACTIONS(2043), + [anon_sym_QMARK_GT] = ACTIONS(2043), + [anon_sym_QMARK_EQ] = ACTIONS(2045), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2045), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2045), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2045), + [aux_sym_shift_expression_token1] = ACTIONS(2045), + [aux_sym_shift_expression_token2] = ACTIONS(2045), + [aux_sym_shift_expression_token3] = ACTIONS(2045), + [aux_sym_shift_expression_token4] = ACTIONS(2045), + [aux_sym_shift_expression_token5] = ACTIONS(2045), + [aux_sym_shift_expression_token6] = ACTIONS(2045), + [anon_sym_AMP] = ACTIONS(2045), + [anon_sym_STAR] = ACTIONS(2043), + [anon_sym_SLASH] = ACTIONS(2043), + [aux_sym_term_token1] = ACTIONS(2045), + [aux_sym_term_token2] = ACTIONS(2045), + [anon_sym_STAR_STAR] = ACTIONS(2045), + [anon_sym_PIPE] = ACTIONS(2045), + [aux_sym__report_token1] = ACTIONS(2045), + [aux_sym__severity_token1] = ACTIONS(2045), + [aux_sym__after_token1] = ACTIONS(2045), + [aux_sym__when_clause_token1] = ACTIONS(2045), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2045), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2045), + [aux_sym_if_token1] = ACTIONS(2045), + [aux_sym_loop_statement_token1] = ACTIONS(2045), + [aux_sym_for_generate_statement_token1] = ACTIONS(2045), + [sym_extended_identifier] = ACTIONS(2045), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2045), + }, + [556] = { + [sym_range_attribute_name] = STATE(1391), + [sym_ascending_range] = STATE(1391), + [sym_descending_range] = STATE(1391), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3229), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [557] = { + [sym_range_attribute_name] = STATE(6257), + [sym_ascending_range] = STATE(6257), + [sym_descending_range] = STATE(6257), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [558] = { + [sym_range_attribute_name] = STATE(6997), + [sym_ascending_range] = STATE(6997), + [sym_descending_range] = STATE(6997), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [559] = { + [sym_range_attribute_name] = STATE(2387), + [sym_ascending_range] = STATE(2387), + [sym_descending_range] = STATE(2387), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3171), + [sym_selected_name] = STATE(3171), + [sym_ambiguous_name] = STATE(3161), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3220), + [sym_external_constant_name] = STATE(3217), + [sym_external_signal_name] = STATE(3217), + [sym_external_variable_name] = STATE(3217), + [sym__expr] = STATE(3309), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(2039), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [560] = { + [sym_range_attribute_name] = STATE(6536), + [sym_ascending_range] = STATE(6536), + [sym_descending_range] = STATE(6536), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3103), + [sym_selected_name] = STATE(3112), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3188), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(2049), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [561] = { + [sym_range_attribute_name] = STATE(1391), + [sym_ascending_range] = STATE(1391), + [sym_descending_range] = STATE(1391), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3209), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [562] = { + [sym_range_attribute_name] = STATE(2958), + [sym_ascending_range] = STATE(2958), + [sym_descending_range] = STATE(2958), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3171), + [sym_selected_name] = STATE(3171), + [sym_ambiguous_name] = STATE(3161), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3220), + [sym_external_constant_name] = STATE(3217), + [sym_external_signal_name] = STATE(3217), + [sym_external_variable_name] = STATE(3217), + [sym__expr] = STATE(3259), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(2039), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [563] = { + [sym_range_attribute_name] = STATE(1391), + [sym_ascending_range] = STATE(1391), + [sym_descending_range] = STATE(1391), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3246), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [564] = { + [sym_range_attribute_name] = STATE(2330), + [sym_ascending_range] = STATE(2330), + [sym_descending_range] = STATE(2330), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3171), + [sym_selected_name] = STATE(3171), + [sym_ambiguous_name] = STATE(3161), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3220), + [sym_external_constant_name] = STATE(3217), + [sym_external_signal_name] = STATE(3217), + [sym_external_variable_name] = STATE(3217), + [sym__expr] = STATE(3299), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(2039), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [565] = { + [sym_range_attribute_name] = STATE(3445), + [sym_ascending_range] = STATE(3445), + [sym_descending_range] = STATE(3445), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3130), + [sym_selected_name] = STATE(3130), + [sym_ambiguous_name] = STATE(3132), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3264), + [sym_external_constant_name] = STATE(3248), + [sym_external_signal_name] = STATE(3248), + [sym_external_variable_name] = STATE(3248), + [sym__expr] = STATE(3290), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(2051), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [566] = { + [sym_range_attribute_name] = STATE(1391), + [sym_ascending_range] = STATE(1391), + [sym_descending_range] = STATE(1391), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3285), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [567] = { + [sym_range_attribute_name] = STATE(2387), + [sym_ascending_range] = STATE(2387), + [sym_descending_range] = STATE(2387), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3171), + [sym_selected_name] = STATE(3171), + [sym_ambiguous_name] = STATE(3161), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3220), + [sym_external_constant_name] = STATE(3217), + [sym_external_signal_name] = STATE(3217), + [sym_external_variable_name] = STATE(3217), + [sym__expr] = STATE(3206), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(2039), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [568] = { + [sym_range_attribute_name] = STATE(6279), + [sym_ascending_range] = STATE(6279), + [sym_descending_range] = STATE(6279), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [569] = { + [sym_range_attribute_name] = STATE(2387), + [sym_ascending_range] = STATE(2387), + [sym_descending_range] = STATE(2387), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3171), + [sym_selected_name] = STATE(3171), + [sym_ambiguous_name] = STATE(3161), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3220), + [sym_external_constant_name] = STATE(3217), + [sym_external_signal_name] = STATE(3217), + [sym_external_variable_name] = STATE(3217), + [sym__expr] = STATE(3291), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(2039), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [570] = { + [sym_range_attribute_name] = STATE(1391), + [sym_ascending_range] = STATE(1391), + [sym_descending_range] = STATE(1391), + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(2949), + [sym_selected_name] = STATE(2949), + [sym_ambiguous_name] = STATE(2948), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(2947), + [sym_external_constant_name] = STATE(2960), + [sym_external_signal_name] = STATE(2960), + [sym_external_variable_name] = STATE(2960), + [sym__expr] = STATE(3204), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1070), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [571] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3198), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_conditional_expressions] = STATE(7143), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2053), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [572] = { + [sym_basic_identifier] = ACTIONS(2004), + [aux_sym_entity_declaration_token2] = ACTIONS(2006), + [anon_sym_SEMI] = ACTIONS(2006), + [aux_sym_block_configuration_token1] = ACTIONS(2006), + [anon_sym_LPAREN] = ACTIONS(2006), + [anon_sym_RPAREN] = ACTIONS(2006), + [anon_sym_COMMA] = ACTIONS(2006), + [anon_sym_LBRACK] = ACTIONS(2006), + [anon_sym_RBRACK] = ACTIONS(2006), + [aux_sym_range_constraint_token1] = ACTIONS(2006), + [aux_sym_ascending_range_token1] = ACTIONS(2006), + [aux_sym_descending_range_token1] = ACTIONS(2006), + [aux_sym_physical_type_definition_token1] = ACTIONS(2006), + [aux_sym_open_token1] = ACTIONS(2006), + [aux_sym_signal_kind_token1] = ACTIONS(2006), + [aux_sym_signal_kind_token2] = ACTIONS(2006), + [anon_sym_EQ_GT] = ACTIONS(2006), + [anon_sym_DOT] = ACTIONS(2006), + [anon_sym_SQUOTE] = ACTIONS(2006), + [anon_sym_GT_GT] = ACTIONS(2006), + [anon_sym_COLON_EQ] = ACTIONS(2006), + [aux_sym_inertial_expression_token1] = ACTIONS(2006), + [aux_sym_reduction_token1] = ACTIONS(2006), + [aux_sym_reduction_token2] = ACTIONS(2006), + [aux_sym_reduction_token3] = ACTIONS(2006), + [aux_sym_reduction_token4] = ACTIONS(2006), + [aux_sym_reduction_token5] = ACTIONS(2006), + [aux_sym_reduction_token6] = ACTIONS(2006), + [anon_sym_PLUS] = ACTIONS(2006), + [anon_sym_DASH] = ACTIONS(2004), + [anon_sym_LT] = ACTIONS(2004), + [anon_sym_GT] = ACTIONS(2004), + [anon_sym_EQ2] = ACTIONS(2004), + [anon_sym_LT_EQ] = ACTIONS(2006), + [anon_sym_GT_EQ] = ACTIONS(2006), + [anon_sym_SLASH_EQ] = ACTIONS(2006), + [anon_sym_QMARK_LT] = ACTIONS(2004), + [anon_sym_QMARK_GT] = ACTIONS(2004), + [anon_sym_QMARK_EQ] = ACTIONS(2006), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2006), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2006), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2006), + [aux_sym_shift_expression_token1] = ACTIONS(2006), + [aux_sym_shift_expression_token2] = ACTIONS(2006), + [aux_sym_shift_expression_token3] = ACTIONS(2006), + [aux_sym_shift_expression_token4] = ACTIONS(2006), + [aux_sym_shift_expression_token5] = ACTIONS(2006), + [aux_sym_shift_expression_token6] = ACTIONS(2006), + [anon_sym_AMP] = ACTIONS(2006), + [anon_sym_STAR] = ACTIONS(2004), + [anon_sym_SLASH] = ACTIONS(2004), + [aux_sym_term_token1] = ACTIONS(2006), + [aux_sym_term_token2] = ACTIONS(2006), + [anon_sym_STAR_STAR] = ACTIONS(2006), + [anon_sym_PIPE] = ACTIONS(2006), + [aux_sym__report_token1] = ACTIONS(2006), + [aux_sym__severity_token1] = ACTIONS(2006), + [aux_sym__after_token1] = ACTIONS(2006), + [aux_sym__when_clause_token1] = ACTIONS(2006), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2006), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2006), + [aux_sym_if_token1] = ACTIONS(2006), + [aux_sym_loop_statement_token1] = ACTIONS(2006), + [aux_sym_for_generate_statement_token1] = ACTIONS(2006), + [sym_extended_identifier] = ACTIONS(2006), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2006), + }, + [573] = { + [sym_basic_identifier] = ACTIONS(1984), + [aux_sym_entity_declaration_token2] = ACTIONS(1986), + [anon_sym_SEMI] = ACTIONS(1986), + [aux_sym_block_configuration_token1] = ACTIONS(1986), + [anon_sym_LPAREN] = ACTIONS(1986), + [anon_sym_RPAREN] = ACTIONS(1986), + [anon_sym_COMMA] = ACTIONS(1986), + [anon_sym_LBRACK] = ACTIONS(1986), + [anon_sym_RBRACK] = ACTIONS(1986), + [aux_sym_range_constraint_token1] = ACTIONS(1986), + [aux_sym_ascending_range_token1] = ACTIONS(1986), + [aux_sym_descending_range_token1] = ACTIONS(1986), + [aux_sym_physical_type_definition_token1] = ACTIONS(1986), + [aux_sym_open_token1] = ACTIONS(1986), + [aux_sym_signal_kind_token1] = ACTIONS(1986), + [aux_sym_signal_kind_token2] = ACTIONS(1986), + [anon_sym_EQ_GT] = ACTIONS(1986), + [anon_sym_DOT] = ACTIONS(1986), + [anon_sym_SQUOTE] = ACTIONS(1986), + [anon_sym_GT_GT] = ACTIONS(1986), + [anon_sym_COLON_EQ] = ACTIONS(1986), + [aux_sym_inertial_expression_token1] = ACTIONS(1986), + [aux_sym_reduction_token1] = ACTIONS(1986), + [aux_sym_reduction_token2] = ACTIONS(1986), + [aux_sym_reduction_token3] = ACTIONS(1986), + [aux_sym_reduction_token4] = ACTIONS(1986), + [aux_sym_reduction_token5] = ACTIONS(1986), + [aux_sym_reduction_token6] = ACTIONS(1986), + [anon_sym_PLUS] = ACTIONS(1986), + [anon_sym_DASH] = ACTIONS(1984), + [anon_sym_LT] = ACTIONS(1984), + [anon_sym_GT] = ACTIONS(1984), + [anon_sym_EQ2] = ACTIONS(1984), + [anon_sym_LT_EQ] = ACTIONS(1986), + [anon_sym_GT_EQ] = ACTIONS(1986), + [anon_sym_SLASH_EQ] = ACTIONS(1986), + [anon_sym_QMARK_LT] = ACTIONS(1984), + [anon_sym_QMARK_GT] = ACTIONS(1984), + [anon_sym_QMARK_EQ] = ACTIONS(1986), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1986), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1986), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1986), + [aux_sym_shift_expression_token1] = ACTIONS(1986), + [aux_sym_shift_expression_token2] = ACTIONS(1986), + [aux_sym_shift_expression_token3] = ACTIONS(1986), + [aux_sym_shift_expression_token4] = ACTIONS(1986), + [aux_sym_shift_expression_token5] = ACTIONS(1986), + [aux_sym_shift_expression_token6] = ACTIONS(1986), + [anon_sym_AMP] = ACTIONS(1986), + [anon_sym_STAR] = ACTIONS(1984), + [anon_sym_SLASH] = ACTIONS(1984), + [aux_sym_term_token1] = ACTIONS(1986), + [aux_sym_term_token2] = ACTIONS(1986), + [anon_sym_STAR_STAR] = ACTIONS(1986), + [anon_sym_PIPE] = ACTIONS(1986), + [aux_sym__report_token1] = ACTIONS(1986), + [aux_sym__severity_token1] = ACTIONS(1986), + [aux_sym__after_token1] = ACTIONS(1986), + [aux_sym__when_clause_token1] = ACTIONS(1986), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1986), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1986), + [aux_sym_if_token1] = ACTIONS(1986), + [aux_sym_loop_statement_token1] = ACTIONS(1986), + [aux_sym_for_generate_statement_token1] = ACTIONS(1986), + [sym_extended_identifier] = ACTIONS(1986), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1986), + }, + [574] = { + [sym_basic_identifier] = ACTIONS(1988), + [aux_sym_entity_declaration_token2] = ACTIONS(1990), + [anon_sym_SEMI] = ACTIONS(1990), + [aux_sym_block_configuration_token1] = ACTIONS(1990), + [anon_sym_LPAREN] = ACTIONS(1990), + [anon_sym_RPAREN] = ACTIONS(1990), + [anon_sym_COMMA] = ACTIONS(1990), + [anon_sym_LBRACK] = ACTIONS(1990), + [anon_sym_RBRACK] = ACTIONS(1990), + [aux_sym_range_constraint_token1] = ACTIONS(1990), + [aux_sym_ascending_range_token1] = ACTIONS(1990), + [aux_sym_descending_range_token1] = ACTIONS(1990), + [aux_sym_physical_type_definition_token1] = ACTIONS(1990), + [aux_sym_open_token1] = ACTIONS(1990), + [aux_sym_signal_kind_token1] = ACTIONS(1990), + [aux_sym_signal_kind_token2] = ACTIONS(1990), + [anon_sym_EQ_GT] = ACTIONS(1990), + [anon_sym_DOT] = ACTIONS(1990), + [anon_sym_SQUOTE] = ACTIONS(1990), + [anon_sym_GT_GT] = ACTIONS(1990), + [anon_sym_COLON_EQ] = ACTIONS(1990), + [aux_sym_inertial_expression_token1] = ACTIONS(1990), + [aux_sym_reduction_token1] = ACTIONS(1990), + [aux_sym_reduction_token2] = ACTIONS(1990), + [aux_sym_reduction_token3] = ACTIONS(1990), + [aux_sym_reduction_token4] = ACTIONS(1990), + [aux_sym_reduction_token5] = ACTIONS(1990), + [aux_sym_reduction_token6] = ACTIONS(1990), + [anon_sym_PLUS] = ACTIONS(1990), + [anon_sym_DASH] = ACTIONS(1988), + [anon_sym_LT] = ACTIONS(1988), + [anon_sym_GT] = ACTIONS(1988), + [anon_sym_EQ2] = ACTIONS(1988), + [anon_sym_LT_EQ] = ACTIONS(1990), + [anon_sym_GT_EQ] = ACTIONS(1990), + [anon_sym_SLASH_EQ] = ACTIONS(1990), + [anon_sym_QMARK_LT] = ACTIONS(1988), + [anon_sym_QMARK_GT] = ACTIONS(1988), + [anon_sym_QMARK_EQ] = ACTIONS(1990), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1990), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1990), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1990), + [aux_sym_shift_expression_token1] = ACTIONS(1990), + [aux_sym_shift_expression_token2] = ACTIONS(1990), + [aux_sym_shift_expression_token3] = ACTIONS(1990), + [aux_sym_shift_expression_token4] = ACTIONS(1990), + [aux_sym_shift_expression_token5] = ACTIONS(1990), + [aux_sym_shift_expression_token6] = ACTIONS(1990), + [anon_sym_AMP] = ACTIONS(1990), + [anon_sym_STAR] = ACTIONS(1988), + [anon_sym_SLASH] = ACTIONS(1988), + [aux_sym_term_token1] = ACTIONS(1990), + [aux_sym_term_token2] = ACTIONS(1990), + [anon_sym_STAR_STAR] = ACTIONS(1990), + [anon_sym_PIPE] = ACTIONS(1990), + [aux_sym__report_token1] = ACTIONS(1990), + [aux_sym__severity_token1] = ACTIONS(1990), + [aux_sym__after_token1] = ACTIONS(1990), + [aux_sym__when_clause_token1] = ACTIONS(1990), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1990), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1990), + [aux_sym_if_token1] = ACTIONS(1990), + [aux_sym_loop_statement_token1] = ACTIONS(1990), + [aux_sym_for_generate_statement_token1] = ACTIONS(1990), + [sym_extended_identifier] = ACTIONS(1990), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1990), + }, + [575] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1886), + [sym_selected_name] = STATE(1886), + [sym_ambiguous_name] = STATE(4590), + [sym_slice_name] = STATE(5912), + [sym_attribute_name] = STATE(4622), + [sym_external_constant_name] = STATE(4651), + [sym_external_signal_name] = STATE(4651), + [sym_external_variable_name] = STATE(4651), + [sym_function_call] = STATE(4653), + [sym_string_literal] = STATE(3646), + [sym_basic_identifier] = ACTIONS(2055), + [aux_sym_entity_declaration_token2] = ACTIONS(2031), + [anon_sym_RPAREN] = ACTIONS(2031), + [anon_sym_COMMA] = ACTIONS(2031), + [anon_sym_LBRACK] = ACTIONS(2031), + [anon_sym_RBRACK] = ACTIONS(2031), + [aux_sym_ascending_range_token1] = ACTIONS(2031), + [aux_sym_descending_range_token1] = ACTIONS(2031), + [anon_sym_EQ_GT] = ACTIONS(2031), + [anon_sym_LT_LT] = ACTIONS(65), + [anon_sym_GT_GT] = ACTIONS(2031), + [aux_sym_inertial_expression_token1] = ACTIONS(2031), + [aux_sym_reduction_token1] = ACTIONS(2031), + [aux_sym_reduction_token2] = ACTIONS(2031), + [aux_sym_reduction_token3] = ACTIONS(2031), + [aux_sym_reduction_token4] = ACTIONS(2031), + [aux_sym_reduction_token5] = ACTIONS(2031), + [aux_sym_reduction_token6] = ACTIONS(2031), + [anon_sym_PLUS] = ACTIONS(2031), + [anon_sym_DASH] = ACTIONS(2029), + [anon_sym_LT] = ACTIONS(2029), + [anon_sym_GT] = ACTIONS(2029), + [anon_sym_EQ2] = ACTIONS(2029), + [anon_sym_LT_EQ] = ACTIONS(2031), + [anon_sym_GT_EQ] = ACTIONS(2031), + [anon_sym_SLASH_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT] = ACTIONS(2029), + [anon_sym_QMARK_GT] = ACTIONS(2029), + [anon_sym_QMARK_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2031), + [aux_sym_shift_expression_token1] = ACTIONS(2031), + [aux_sym_shift_expression_token2] = ACTIONS(2031), + [aux_sym_shift_expression_token3] = ACTIONS(2031), + [aux_sym_shift_expression_token4] = ACTIONS(2031), + [aux_sym_shift_expression_token5] = ACTIONS(2031), + [aux_sym_shift_expression_token6] = ACTIONS(2031), + [anon_sym_AMP] = ACTIONS(2031), + [anon_sym_STAR] = ACTIONS(2029), + [anon_sym_SLASH] = ACTIONS(2029), + [aux_sym_term_token1] = ACTIONS(2031), + [aux_sym_term_token2] = ACTIONS(2031), + [anon_sym_STAR_STAR] = ACTIONS(2031), + [anon_sym_PIPE] = ACTIONS(2031), + [aux_sym__after_token1] = ACTIONS(2031), + [aux_sym__when_clause_token1] = ACTIONS(2031), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2031), + [aux_sym_if_token1] = ACTIONS(2031), + [aux_sym_loop_statement_token1] = ACTIONS(2031), + [aux_sym_for_generate_statement_token1] = ACTIONS(2031), + [sym_extended_identifier] = ACTIONS(1090), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2031), + }, + [576] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3159), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_conditional_expressions] = STATE(6450), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2057), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [577] = { + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1959), + [sym_selected_name] = STATE(1959), + [sym_ambiguous_name] = STATE(4588), + [sym_slice_name] = STATE(5828), + [sym_attribute_name] = STATE(4622), + [sym_external_constant_name] = STATE(4625), + [sym_external_signal_name] = STATE(4625), + [sym_external_variable_name] = STATE(4625), + [sym_function_call] = STATE(4653), + [sym_string_literal] = STATE(3646), + [sym_basic_identifier] = ACTIONS(2059), + [anon_sym_RPAREN] = ACTIONS(2031), + [anon_sym_COMMA] = ACTIONS(2031), + [anon_sym_LBRACK] = ACTIONS(2031), + [aux_sym_ascending_range_token1] = ACTIONS(2031), + [aux_sym_descending_range_token1] = ACTIONS(2031), + [anon_sym_EQ_GT] = ACTIONS(2031), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_reduction_token1] = ACTIONS(2031), + [aux_sym_reduction_token2] = ACTIONS(2031), + [aux_sym_reduction_token3] = ACTIONS(2031), + [aux_sym_reduction_token4] = ACTIONS(2031), + [aux_sym_reduction_token5] = ACTIONS(2031), + [aux_sym_reduction_token6] = ACTIONS(2031), + [anon_sym_PLUS] = ACTIONS(2031), + [anon_sym_DASH] = ACTIONS(2029), + [anon_sym_LT] = ACTIONS(2029), + [anon_sym_GT] = ACTIONS(2029), + [anon_sym_EQ2] = ACTIONS(2029), + [anon_sym_LT_EQ] = ACTIONS(2031), + [anon_sym_GT_EQ] = ACTIONS(2031), + [anon_sym_SLASH_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT] = ACTIONS(2029), + [anon_sym_QMARK_GT] = ACTIONS(2029), + [anon_sym_QMARK_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2031), + [aux_sym_shift_expression_token1] = ACTIONS(2031), + [aux_sym_shift_expression_token2] = ACTIONS(2031), + [aux_sym_shift_expression_token3] = ACTIONS(2031), + [aux_sym_shift_expression_token4] = ACTIONS(2031), + [aux_sym_shift_expression_token5] = ACTIONS(2031), + [aux_sym_shift_expression_token6] = ACTIONS(2031), + [anon_sym_AMP] = ACTIONS(2031), + [anon_sym_STAR] = ACTIONS(2029), + [anon_sym_SLASH] = ACTIONS(2029), + [aux_sym_term_token1] = ACTIONS(2031), + [aux_sym_term_token2] = ACTIONS(2031), + [anon_sym_STAR_STAR] = ACTIONS(2031), + [anon_sym_PIPE] = ACTIONS(2031), + [aux_sym__condition_clause_token1] = ACTIONS(2031), + [sym_extended_identifier] = ACTIONS(1154), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_DASH_GT] = ACTIONS(2031), + [anon_sym_LT_DASH_GT] = ACTIONS(2031), + [aux_sym_PSL_Union_Expression_token1] = ACTIONS(2031), + [anon_sym_RBRACE] = ACTIONS(2031), + [anon_sym_AT2] = ACTIONS(2031), + [aux_sym_PSL_Termination_FL_Property_token1] = ACTIONS(2031), + [aux_sym_PSL_Termination_FL_Property_token2] = ACTIONS(2031), + [aux_sym_PSL_Termination_FL_Property_token3] = ACTIONS(2031), + [aux_sym_PSL_Bounding_FL_Property_token1] = ACTIONS(2031), + }, + [578] = { + [sym_basic_identifier] = ACTIONS(2061), + [aux_sym_entity_declaration_token2] = ACTIONS(2063), + [anon_sym_SEMI] = ACTIONS(2063), + [aux_sym_block_configuration_token1] = ACTIONS(2063), + [anon_sym_LPAREN] = ACTIONS(2063), + [anon_sym_RPAREN] = ACTIONS(2063), + [anon_sym_COMMA] = ACTIONS(2063), + [anon_sym_LBRACK] = ACTIONS(2063), + [anon_sym_RBRACK] = ACTIONS(2063), + [aux_sym_range_constraint_token1] = ACTIONS(2063), + [aux_sym_ascending_range_token1] = ACTIONS(2063), + [aux_sym_descending_range_token1] = ACTIONS(2063), + [aux_sym_physical_type_definition_token1] = ACTIONS(2063), + [aux_sym_open_token1] = ACTIONS(2063), + [aux_sym_signal_kind_token1] = ACTIONS(2063), + [aux_sym_signal_kind_token2] = ACTIONS(2063), + [anon_sym_EQ_GT] = ACTIONS(2063), + [anon_sym_SQUOTE] = ACTIONS(2063), + [anon_sym_GT_GT] = ACTIONS(2063), + [anon_sym_COLON_EQ] = ACTIONS(2063), + [aux_sym_inertial_expression_token1] = ACTIONS(2063), + [aux_sym_reduction_token1] = ACTIONS(2063), + [aux_sym_reduction_token2] = ACTIONS(2063), + [aux_sym_reduction_token3] = ACTIONS(2063), + [aux_sym_reduction_token4] = ACTIONS(2063), + [aux_sym_reduction_token5] = ACTIONS(2063), + [aux_sym_reduction_token6] = ACTIONS(2063), + [anon_sym_PLUS] = ACTIONS(2063), + [anon_sym_DASH] = ACTIONS(2061), + [anon_sym_LT] = ACTIONS(2061), + [anon_sym_GT] = ACTIONS(2061), + [anon_sym_EQ2] = ACTIONS(2061), + [anon_sym_LT_EQ] = ACTIONS(2063), + [anon_sym_GT_EQ] = ACTIONS(2063), + [anon_sym_SLASH_EQ] = ACTIONS(2063), + [anon_sym_QMARK_LT] = ACTIONS(2061), + [anon_sym_QMARK_GT] = ACTIONS(2061), + [anon_sym_QMARK_EQ] = ACTIONS(2063), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2063), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2063), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2063), + [aux_sym_shift_expression_token1] = ACTIONS(2063), + [aux_sym_shift_expression_token2] = ACTIONS(2063), + [aux_sym_shift_expression_token3] = ACTIONS(2063), + [aux_sym_shift_expression_token4] = ACTIONS(2063), + [aux_sym_shift_expression_token5] = ACTIONS(2063), + [aux_sym_shift_expression_token6] = ACTIONS(2063), + [anon_sym_AMP] = ACTIONS(2063), + [anon_sym_STAR] = ACTIONS(2061), + [anon_sym_SLASH] = ACTIONS(2061), + [aux_sym_term_token1] = ACTIONS(2063), + [aux_sym_term_token2] = ACTIONS(2063), + [anon_sym_STAR_STAR] = ACTIONS(2063), + [anon_sym_PIPE] = ACTIONS(2063), + [aux_sym__report_token1] = ACTIONS(2063), + [aux_sym__severity_token1] = ACTIONS(2063), + [aux_sym__after_token1] = ACTIONS(2063), + [aux_sym__when_clause_token1] = ACTIONS(2063), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2063), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2063), + [aux_sym_if_token1] = ACTIONS(2063), + [aux_sym_loop_statement_token1] = ACTIONS(2063), + [aux_sym_for_generate_statement_token1] = ACTIONS(2063), + [sym_extended_identifier] = ACTIONS(2063), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2063), + }, + [579] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3167), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_conditional_expressions] = STATE(6673), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [580] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3087), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveform_element] = STATE(5213), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [581] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6281), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [582] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6458), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [583] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3337), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym__guard] = STATE(6687), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [584] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6025), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [585] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__file_logical_name] = STATE(6318), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3390), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [586] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [587] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6902), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [588] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3160), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_conditional_expressions] = STATE(6989), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [589] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [590] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3331), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2069), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [591] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3340), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_label] = STATE(769), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1956), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1958), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [592] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [593] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3147), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_conditional_expressions] = STATE(7283), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [594] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3373), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2071), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [595] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3330), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2073), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [596] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3202), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [anon_sym_QMARK] = ACTIONS(2075), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [597] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [598] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__file_logical_name] = STATE(5971), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3390), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [599] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3341), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2077), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [600] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6649), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [601] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6187), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [602] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3384), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2079), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [603] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6830), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [604] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3348), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2081), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [605] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6262), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [606] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3031), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_waveform_element] = STATE(5213), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [607] = { + [sym_basic_identifier] = ACTIONS(2061), + [aux_sym_entity_declaration_token2] = ACTIONS(2063), + [anon_sym_SEMI] = ACTIONS(2063), + [aux_sym_block_configuration_token1] = ACTIONS(2063), + [anon_sym_LPAREN] = ACTIONS(2063), + [anon_sym_RPAREN] = ACTIONS(2063), + [anon_sym_COMMA] = ACTIONS(2063), + [anon_sym_LBRACK] = ACTIONS(2063), + [anon_sym_RBRACK] = ACTIONS(2063), + [aux_sym_range_constraint_token1] = ACTIONS(2063), + [aux_sym_ascending_range_token1] = ACTIONS(2063), + [aux_sym_descending_range_token1] = ACTIONS(2063), + [aux_sym_physical_type_definition_token1] = ACTIONS(2063), + [aux_sym_open_token1] = ACTIONS(2063), + [aux_sym_signal_kind_token1] = ACTIONS(2063), + [aux_sym_signal_kind_token2] = ACTIONS(2063), + [anon_sym_EQ_GT] = ACTIONS(2063), + [anon_sym_SQUOTE] = ACTIONS(2063), + [anon_sym_GT_GT] = ACTIONS(2063), + [anon_sym_COLON_EQ] = ACTIONS(2063), + [aux_sym_inertial_expression_token1] = ACTIONS(2063), + [aux_sym_reduction_token1] = ACTIONS(2063), + [aux_sym_reduction_token2] = ACTIONS(2063), + [aux_sym_reduction_token3] = ACTIONS(2063), + [aux_sym_reduction_token4] = ACTIONS(2063), + [aux_sym_reduction_token5] = ACTIONS(2063), + [aux_sym_reduction_token6] = ACTIONS(2063), + [anon_sym_PLUS] = ACTIONS(2063), + [anon_sym_DASH] = ACTIONS(2061), + [anon_sym_LT] = ACTIONS(2061), + [anon_sym_GT] = ACTIONS(2061), + [anon_sym_EQ2] = ACTIONS(2061), + [anon_sym_LT_EQ] = ACTIONS(2063), + [anon_sym_GT_EQ] = ACTIONS(2063), + [anon_sym_SLASH_EQ] = ACTIONS(2063), + [anon_sym_QMARK_LT] = ACTIONS(2061), + [anon_sym_QMARK_GT] = ACTIONS(2061), + [anon_sym_QMARK_EQ] = ACTIONS(2063), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2063), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2063), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2063), + [aux_sym_shift_expression_token1] = ACTIONS(2063), + [aux_sym_shift_expression_token2] = ACTIONS(2063), + [aux_sym_shift_expression_token3] = ACTIONS(2063), + [aux_sym_shift_expression_token4] = ACTIONS(2063), + [aux_sym_shift_expression_token5] = ACTIONS(2063), + [aux_sym_shift_expression_token6] = ACTIONS(2063), + [anon_sym_AMP] = ACTIONS(2063), + [anon_sym_STAR] = ACTIONS(2061), + [anon_sym_SLASH] = ACTIONS(2061), + [aux_sym_term_token1] = ACTIONS(2063), + [aux_sym_term_token2] = ACTIONS(2063), + [anon_sym_STAR_STAR] = ACTIONS(2063), + [anon_sym_PIPE] = ACTIONS(2063), + [aux_sym__report_token1] = ACTIONS(2063), + [aux_sym__severity_token1] = ACTIONS(2063), + [aux_sym__after_token1] = ACTIONS(2063), + [aux_sym__when_clause_token1] = ACTIONS(2063), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2063), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2063), + [aux_sym_if_token1] = ACTIONS(2063), + [aux_sym_loop_statement_token1] = ACTIONS(2063), + [aux_sym_for_generate_statement_token1] = ACTIONS(2063), + [sym_extended_identifier] = ACTIONS(2063), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2063), + }, + [608] = { + [sym_basic_identifier] = ACTIONS(2061), + [aux_sym_entity_declaration_token2] = ACTIONS(2063), + [anon_sym_SEMI] = ACTIONS(2063), + [aux_sym_block_configuration_token1] = ACTIONS(2063), + [anon_sym_LPAREN] = ACTIONS(2063), + [anon_sym_RPAREN] = ACTIONS(2063), + [anon_sym_COMMA] = ACTIONS(2063), + [anon_sym_LBRACK] = ACTIONS(2063), + [anon_sym_RBRACK] = ACTIONS(2063), + [aux_sym_range_constraint_token1] = ACTIONS(2063), + [aux_sym_ascending_range_token1] = ACTIONS(2063), + [aux_sym_descending_range_token1] = ACTIONS(2063), + [aux_sym_physical_type_definition_token1] = ACTIONS(2063), + [aux_sym_open_token1] = ACTIONS(2063), + [aux_sym_signal_kind_token1] = ACTIONS(2063), + [aux_sym_signal_kind_token2] = ACTIONS(2063), + [anon_sym_EQ_GT] = ACTIONS(2063), + [anon_sym_SQUOTE] = ACTIONS(2063), + [anon_sym_GT_GT] = ACTIONS(2063), + [anon_sym_COLON_EQ] = ACTIONS(2063), + [aux_sym_inertial_expression_token1] = ACTIONS(2063), + [aux_sym_reduction_token1] = ACTIONS(2063), + [aux_sym_reduction_token2] = ACTIONS(2063), + [aux_sym_reduction_token3] = ACTIONS(2063), + [aux_sym_reduction_token4] = ACTIONS(2063), + [aux_sym_reduction_token5] = ACTIONS(2063), + [aux_sym_reduction_token6] = ACTIONS(2063), + [anon_sym_PLUS] = ACTIONS(2063), + [anon_sym_DASH] = ACTIONS(2061), + [anon_sym_LT] = ACTIONS(2061), + [anon_sym_GT] = ACTIONS(2061), + [anon_sym_EQ2] = ACTIONS(2061), + [anon_sym_LT_EQ] = ACTIONS(2063), + [anon_sym_GT_EQ] = ACTIONS(2063), + [anon_sym_SLASH_EQ] = ACTIONS(2063), + [anon_sym_QMARK_LT] = ACTIONS(2061), + [anon_sym_QMARK_GT] = ACTIONS(2061), + [anon_sym_QMARK_EQ] = ACTIONS(2063), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2063), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2063), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2063), + [aux_sym_shift_expression_token1] = ACTIONS(2063), + [aux_sym_shift_expression_token2] = ACTIONS(2063), + [aux_sym_shift_expression_token3] = ACTIONS(2063), + [aux_sym_shift_expression_token4] = ACTIONS(2063), + [aux_sym_shift_expression_token5] = ACTIONS(2063), + [aux_sym_shift_expression_token6] = ACTIONS(2063), + [anon_sym_AMP] = ACTIONS(2063), + [anon_sym_STAR] = ACTIONS(2061), + [anon_sym_SLASH] = ACTIONS(2061), + [aux_sym_term_token1] = ACTIONS(2063), + [aux_sym_term_token2] = ACTIONS(2063), + [anon_sym_STAR_STAR] = ACTIONS(2063), + [anon_sym_PIPE] = ACTIONS(2063), + [aux_sym__report_token1] = ACTIONS(2063), + [aux_sym__severity_token1] = ACTIONS(2063), + [aux_sym__after_token1] = ACTIONS(2063), + [aux_sym__when_clause_token1] = ACTIONS(2063), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2063), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2063), + [aux_sym_if_token1] = ACTIONS(2063), + [aux_sym_loop_statement_token1] = ACTIONS(2063), + [aux_sym_for_generate_statement_token1] = ACTIONS(2063), + [sym_extended_identifier] = ACTIONS(2063), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2063), + }, + [609] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [610] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3388), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2083), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [611] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [612] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [613] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6323), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [614] = { + [sym_basic_identifier] = ACTIONS(2085), + [aux_sym_entity_declaration_token2] = ACTIONS(2087), + [anon_sym_SEMI] = ACTIONS(2087), + [aux_sym_block_configuration_token1] = ACTIONS(2087), + [anon_sym_LPAREN] = ACTIONS(2087), + [anon_sym_RPAREN] = ACTIONS(2087), + [anon_sym_COMMA] = ACTIONS(2087), + [anon_sym_LBRACK] = ACTIONS(2087), + [anon_sym_RBRACK] = ACTIONS(2087), + [aux_sym_ascending_range_token1] = ACTIONS(2087), + [aux_sym_descending_range_token1] = ACTIONS(2087), + [aux_sym_physical_type_definition_token1] = ACTIONS(2087), + [aux_sym_open_token1] = ACTIONS(2087), + [aux_sym_signal_kind_token1] = ACTIONS(2087), + [aux_sym_signal_kind_token2] = ACTIONS(2087), + [anon_sym_EQ_GT] = ACTIONS(2087), + [anon_sym_DOT] = ACTIONS(2087), + [anon_sym_SQUOTE] = ACTIONS(2087), + [anon_sym_GT_GT] = ACTIONS(2087), + [anon_sym_COLON_EQ] = ACTIONS(2087), + [aux_sym_inertial_expression_token1] = ACTIONS(2087), + [aux_sym_reduction_token1] = ACTIONS(2087), + [aux_sym_reduction_token2] = ACTIONS(2087), + [aux_sym_reduction_token3] = ACTIONS(2087), + [aux_sym_reduction_token4] = ACTIONS(2087), + [aux_sym_reduction_token5] = ACTIONS(2087), + [aux_sym_reduction_token6] = ACTIONS(2087), + [anon_sym_PLUS] = ACTIONS(2087), + [anon_sym_DASH] = ACTIONS(2085), + [anon_sym_LT] = ACTIONS(2085), + [anon_sym_GT] = ACTIONS(2085), + [anon_sym_EQ2] = ACTIONS(2085), + [anon_sym_LT_EQ] = ACTIONS(2087), + [anon_sym_GT_EQ] = ACTIONS(2087), + [anon_sym_SLASH_EQ] = ACTIONS(2087), + [anon_sym_QMARK_LT] = ACTIONS(2085), + [anon_sym_QMARK_GT] = ACTIONS(2085), + [anon_sym_QMARK_EQ] = ACTIONS(2087), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2087), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2087), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2087), + [aux_sym_shift_expression_token1] = ACTIONS(2087), + [aux_sym_shift_expression_token2] = ACTIONS(2087), + [aux_sym_shift_expression_token3] = ACTIONS(2087), + [aux_sym_shift_expression_token4] = ACTIONS(2087), + [aux_sym_shift_expression_token5] = ACTIONS(2087), + [aux_sym_shift_expression_token6] = ACTIONS(2087), + [anon_sym_AMP] = ACTIONS(2087), + [anon_sym_STAR] = ACTIONS(2085), + [anon_sym_SLASH] = ACTIONS(2085), + [aux_sym_term_token1] = ACTIONS(2087), + [aux_sym_term_token2] = ACTIONS(2087), + [anon_sym_STAR_STAR] = ACTIONS(2087), + [anon_sym_PIPE] = ACTIONS(2087), + [aux_sym__report_token1] = ACTIONS(2087), + [aux_sym__severity_token1] = ACTIONS(2087), + [aux_sym__after_token1] = ACTIONS(2087), + [aux_sym__when_clause_token1] = ACTIONS(2087), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2087), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2087), + [aux_sym_if_token1] = ACTIONS(2087), + [aux_sym_loop_statement_token1] = ACTIONS(2087), + [aux_sym_for_generate_statement_token1] = ACTIONS(2087), + [sym_extended_identifier] = ACTIONS(2087), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2087), + }, + [615] = { + [sym_basic_identifier] = ACTIONS(2089), + [aux_sym_entity_declaration_token2] = ACTIONS(2091), + [anon_sym_SEMI] = ACTIONS(2091), + [aux_sym_block_configuration_token1] = ACTIONS(2091), + [anon_sym_LPAREN] = ACTIONS(2091), + [anon_sym_RPAREN] = ACTIONS(2091), + [anon_sym_COMMA] = ACTIONS(2091), + [anon_sym_LBRACK] = ACTIONS(2091), + [anon_sym_RBRACK] = ACTIONS(2091), + [aux_sym_range_constraint_token1] = ACTIONS(2091), + [aux_sym_ascending_range_token1] = ACTIONS(2091), + [aux_sym_descending_range_token1] = ACTIONS(2091), + [aux_sym_physical_type_definition_token1] = ACTIONS(2091), + [aux_sym_open_token1] = ACTIONS(2091), + [aux_sym_signal_kind_token1] = ACTIONS(2091), + [aux_sym_signal_kind_token2] = ACTIONS(2091), + [anon_sym_EQ_GT] = ACTIONS(2091), + [anon_sym_SQUOTE] = ACTIONS(2091), + [anon_sym_GT_GT] = ACTIONS(2091), + [anon_sym_COLON_EQ] = ACTIONS(2091), + [aux_sym_inertial_expression_token1] = ACTIONS(2091), + [aux_sym_reduction_token1] = ACTIONS(2091), + [aux_sym_reduction_token2] = ACTIONS(2091), + [aux_sym_reduction_token3] = ACTIONS(2091), + [aux_sym_reduction_token4] = ACTIONS(2091), + [aux_sym_reduction_token5] = ACTIONS(2091), + [aux_sym_reduction_token6] = ACTIONS(2091), + [anon_sym_PLUS] = ACTIONS(2091), + [anon_sym_DASH] = ACTIONS(2089), + [anon_sym_LT] = ACTIONS(2089), + [anon_sym_GT] = ACTIONS(2089), + [anon_sym_EQ2] = ACTIONS(2089), + [anon_sym_LT_EQ] = ACTIONS(2091), + [anon_sym_GT_EQ] = ACTIONS(2091), + [anon_sym_SLASH_EQ] = ACTIONS(2091), + [anon_sym_QMARK_LT] = ACTIONS(2089), + [anon_sym_QMARK_GT] = ACTIONS(2089), + [anon_sym_QMARK_EQ] = ACTIONS(2091), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2091), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2091), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2091), + [aux_sym_shift_expression_token1] = ACTIONS(2091), + [aux_sym_shift_expression_token2] = ACTIONS(2091), + [aux_sym_shift_expression_token3] = ACTIONS(2091), + [aux_sym_shift_expression_token4] = ACTIONS(2091), + [aux_sym_shift_expression_token5] = ACTIONS(2091), + [aux_sym_shift_expression_token6] = ACTIONS(2091), + [anon_sym_AMP] = ACTIONS(2091), + [anon_sym_STAR] = ACTIONS(2089), + [anon_sym_SLASH] = ACTIONS(2089), + [aux_sym_term_token1] = ACTIONS(2091), + [aux_sym_term_token2] = ACTIONS(2091), + [anon_sym_STAR_STAR] = ACTIONS(2091), + [anon_sym_PIPE] = ACTIONS(2091), + [aux_sym__report_token1] = ACTIONS(2091), + [aux_sym__severity_token1] = ACTIONS(2091), + [aux_sym__after_token1] = ACTIONS(2091), + [aux_sym__when_clause_token1] = ACTIONS(2091), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2091), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2091), + [aux_sym_if_token1] = ACTIONS(2091), + [aux_sym_loop_statement_token1] = ACTIONS(2091), + [aux_sym_for_generate_statement_token1] = ACTIONS(2091), + [sym_extended_identifier] = ACTIONS(2091), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2091), + }, + [616] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [617] = { + [sym_basic_identifier] = ACTIONS(2093), + [aux_sym_entity_declaration_token2] = ACTIONS(2095), + [anon_sym_SEMI] = ACTIONS(2095), + [aux_sym_block_configuration_token1] = ACTIONS(2095), + [anon_sym_LPAREN] = ACTIONS(2095), + [anon_sym_RPAREN] = ACTIONS(2095), + [anon_sym_COMMA] = ACTIONS(2095), + [anon_sym_LBRACK] = ACTIONS(2095), + [anon_sym_RBRACK] = ACTIONS(2095), + [aux_sym_range_constraint_token1] = ACTIONS(2095), + [aux_sym_ascending_range_token1] = ACTIONS(2095), + [aux_sym_descending_range_token1] = ACTIONS(2095), + [aux_sym_physical_type_definition_token1] = ACTIONS(2095), + [aux_sym_open_token1] = ACTIONS(2095), + [aux_sym_signal_kind_token1] = ACTIONS(2095), + [aux_sym_signal_kind_token2] = ACTIONS(2095), + [anon_sym_EQ_GT] = ACTIONS(2095), + [anon_sym_SQUOTE] = ACTIONS(2095), + [anon_sym_GT_GT] = ACTIONS(2095), + [anon_sym_COLON_EQ] = ACTIONS(2095), + [aux_sym_inertial_expression_token1] = ACTIONS(2095), + [aux_sym_reduction_token1] = ACTIONS(2095), + [aux_sym_reduction_token2] = ACTIONS(2095), + [aux_sym_reduction_token3] = ACTIONS(2095), + [aux_sym_reduction_token4] = ACTIONS(2095), + [aux_sym_reduction_token5] = ACTIONS(2095), + [aux_sym_reduction_token6] = ACTIONS(2095), + [anon_sym_PLUS] = ACTIONS(2095), + [anon_sym_DASH] = ACTIONS(2093), + [anon_sym_LT] = ACTIONS(2093), + [anon_sym_GT] = ACTIONS(2093), + [anon_sym_EQ2] = ACTIONS(2093), + [anon_sym_LT_EQ] = ACTIONS(2095), + [anon_sym_GT_EQ] = ACTIONS(2095), + [anon_sym_SLASH_EQ] = ACTIONS(2095), + [anon_sym_QMARK_LT] = ACTIONS(2093), + [anon_sym_QMARK_GT] = ACTIONS(2093), + [anon_sym_QMARK_EQ] = ACTIONS(2095), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2095), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2095), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2095), + [aux_sym_shift_expression_token1] = ACTIONS(2095), + [aux_sym_shift_expression_token2] = ACTIONS(2095), + [aux_sym_shift_expression_token3] = ACTIONS(2095), + [aux_sym_shift_expression_token4] = ACTIONS(2095), + [aux_sym_shift_expression_token5] = ACTIONS(2095), + [aux_sym_shift_expression_token6] = ACTIONS(2095), + [anon_sym_AMP] = ACTIONS(2095), + [anon_sym_STAR] = ACTIONS(2093), + [anon_sym_SLASH] = ACTIONS(2093), + [aux_sym_term_token1] = ACTIONS(2095), + [aux_sym_term_token2] = ACTIONS(2095), + [anon_sym_STAR_STAR] = ACTIONS(2095), + [anon_sym_PIPE] = ACTIONS(2095), + [aux_sym__report_token1] = ACTIONS(2095), + [aux_sym__severity_token1] = ACTIONS(2095), + [aux_sym__after_token1] = ACTIONS(2095), + [aux_sym__when_clause_token1] = ACTIONS(2095), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2095), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2095), + [aux_sym_if_token1] = ACTIONS(2095), + [aux_sym_loop_statement_token1] = ACTIONS(2095), + [aux_sym_for_generate_statement_token1] = ACTIONS(2095), + [sym_extended_identifier] = ACTIONS(2095), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2095), + }, + [618] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3377), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [anon_sym_QMARK] = ACTIONS(2097), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [619] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6591), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [620] = { + [sym_signature] = STATE(5304), + [sym_resolution_function] = STATE(5690), + [sym_parenthesized_resolution] = STATE(5690), + [sym_record_resolution] = STATE(5690), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4373), + [sym_selected_name] = STATE(4373), + [sym_ambiguous_name] = STATE(4609), + [sym_slice_name] = STATE(5894), + [sym_attribute_name] = STATE(4622), + [sym__attribute_designator] = STATE(586), + [sym__predefined_attribute_designator] = STATE(589), + [sym__predefined_attribute_designator_with_expression] = STATE(611), + [sym_external_constant_name] = STATE(4635), + [sym_external_signal_name] = STATE(4635), + [sym_external_variable_name] = STATE(4635), + [sym_function_call] = STATE(4653), + [sym_string_literal] = STATE(3646), + [sym_basic_identifier] = ACTIONS(2099), + [anon_sym_LPAREN] = ACTIONS(2101), + [anon_sym_RPAREN] = ACTIONS(2104), + [anon_sym_COMMA] = ACTIONS(2104), + [anon_sym_LBRACK] = ACTIONS(1999), + [aux_sym_range_constraint_token1] = ACTIONS(1994), + [aux_sym_ascending_range_token1] = ACTIONS(2031), + [aux_sym_descending_range_token1] = ACTIONS(2031), + [anon_sym_EQ_GT] = ACTIONS(2107), + [anon_sym_DOT] = ACTIONS(2109), + [anon_sym_SQUOTE] = ACTIONS(2111), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_reduction_token1] = ACTIONS(2031), + [aux_sym_reduction_token2] = ACTIONS(2031), + [aux_sym_reduction_token3] = ACTIONS(2031), + [aux_sym_reduction_token4] = ACTIONS(2031), + [aux_sym_reduction_token5] = ACTIONS(2031), + [aux_sym_reduction_token6] = ACTIONS(2031), + [anon_sym_PLUS] = ACTIONS(2031), + [anon_sym_DASH] = ACTIONS(2029), + [anon_sym_LT] = ACTIONS(2029), + [anon_sym_GT] = ACTIONS(2029), + [anon_sym_EQ2] = ACTIONS(2029), + [anon_sym_LT_EQ] = ACTIONS(2031), + [anon_sym_GT_EQ] = ACTIONS(2031), + [anon_sym_SLASH_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT] = ACTIONS(2029), + [anon_sym_QMARK_GT] = ACTIONS(2029), + [anon_sym_QMARK_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2031), + [aux_sym_shift_expression_token1] = ACTIONS(2031), + [aux_sym_shift_expression_token2] = ACTIONS(2031), + [aux_sym_shift_expression_token3] = ACTIONS(2031), + [aux_sym_shift_expression_token4] = ACTIONS(2031), + [aux_sym_shift_expression_token5] = ACTIONS(2031), + [aux_sym_shift_expression_token6] = ACTIONS(2031), + [anon_sym_AMP] = ACTIONS(2031), + [anon_sym_STAR] = ACTIONS(2029), + [anon_sym_SLASH] = ACTIONS(2029), + [aux_sym_term_token1] = ACTIONS(2031), + [aux_sym_term_token2] = ACTIONS(2031), + [anon_sym_STAR_STAR] = ACTIONS(2031), + [sym_extended_identifier] = ACTIONS(1090), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [621] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [622] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [623] = { + [sym_basic_identifier] = ACTIONS(2114), + [aux_sym_entity_declaration_token2] = ACTIONS(2116), + [anon_sym_SEMI] = ACTIONS(2116), + [aux_sym_block_configuration_token1] = ACTIONS(2116), + [anon_sym_LPAREN] = ACTIONS(2118), + [anon_sym_RPAREN] = ACTIONS(2116), + [anon_sym_COMMA] = ACTIONS(2116), + [anon_sym_LBRACK] = ACTIONS(2116), + [anon_sym_RBRACK] = ACTIONS(2116), + [aux_sym_range_constraint_token1] = ACTIONS(2116), + [aux_sym_ascending_range_token1] = ACTIONS(2116), + [aux_sym_descending_range_token1] = ACTIONS(2116), + [aux_sym_physical_type_definition_token1] = ACTIONS(2116), + [aux_sym_open_token1] = ACTIONS(2116), + [aux_sym_signal_kind_token1] = ACTIONS(2116), + [aux_sym_signal_kind_token2] = ACTIONS(2116), + [anon_sym_EQ_GT] = ACTIONS(2116), + [anon_sym_SQUOTE] = ACTIONS(2116), + [anon_sym_GT_GT] = ACTIONS(2116), + [anon_sym_COLON_EQ] = ACTIONS(2116), + [aux_sym_inertial_expression_token1] = ACTIONS(2116), + [aux_sym_reduction_token1] = ACTIONS(2116), + [aux_sym_reduction_token2] = ACTIONS(2116), + [aux_sym_reduction_token3] = ACTIONS(2116), + [aux_sym_reduction_token4] = ACTIONS(2116), + [aux_sym_reduction_token5] = ACTIONS(2116), + [aux_sym_reduction_token6] = ACTIONS(2116), + [anon_sym_PLUS] = ACTIONS(2116), + [anon_sym_DASH] = ACTIONS(2114), + [anon_sym_LT] = ACTIONS(2114), + [anon_sym_GT] = ACTIONS(2114), + [anon_sym_EQ2] = ACTIONS(2114), + [anon_sym_LT_EQ] = ACTIONS(2116), + [anon_sym_GT_EQ] = ACTIONS(2116), + [anon_sym_SLASH_EQ] = ACTIONS(2116), + [anon_sym_QMARK_LT] = ACTIONS(2114), + [anon_sym_QMARK_GT] = ACTIONS(2114), + [anon_sym_QMARK_EQ] = ACTIONS(2116), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2116), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2116), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2116), + [aux_sym_shift_expression_token1] = ACTIONS(2116), + [aux_sym_shift_expression_token2] = ACTIONS(2116), + [aux_sym_shift_expression_token3] = ACTIONS(2116), + [aux_sym_shift_expression_token4] = ACTIONS(2116), + [aux_sym_shift_expression_token5] = ACTIONS(2116), + [aux_sym_shift_expression_token6] = ACTIONS(2116), + [anon_sym_AMP] = ACTIONS(2116), + [anon_sym_STAR] = ACTIONS(2114), + [anon_sym_SLASH] = ACTIONS(2114), + [aux_sym_term_token1] = ACTIONS(2116), + [aux_sym_term_token2] = ACTIONS(2116), + [anon_sym_STAR_STAR] = ACTIONS(2116), + [anon_sym_PIPE] = ACTIONS(2116), + [aux_sym__report_token1] = ACTIONS(2116), + [aux_sym__severity_token1] = ACTIONS(2116), + [aux_sym__after_token1] = ACTIONS(2116), + [aux_sym__when_clause_token1] = ACTIONS(2116), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2116), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2116), + [aux_sym_if_token1] = ACTIONS(2116), + [aux_sym_loop_statement_token1] = ACTIONS(2116), + [aux_sym_for_generate_statement_token1] = ACTIONS(2116), + [sym_extended_identifier] = ACTIONS(2116), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2116), + }, + [624] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(5963), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [625] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3381), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [anon_sym_QMARK] = ACTIONS(2121), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [626] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [627] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3307), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [anon_sym_QMARK] = ACTIONS(2123), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [628] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3306), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_label] = STATE(811), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1956), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1958), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [629] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3368), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2125), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [630] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3157), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_conditional_expressions] = STATE(7315), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [631] = { + [sym_basic_identifier] = ACTIONS(2114), + [aux_sym_entity_declaration_token2] = ACTIONS(2116), + [anon_sym_SEMI] = ACTIONS(2116), + [aux_sym_block_configuration_token1] = ACTIONS(2116), + [anon_sym_LPAREN] = ACTIONS(2116), + [anon_sym_RPAREN] = ACTIONS(2116), + [anon_sym_COMMA] = ACTIONS(2116), + [anon_sym_LBRACK] = ACTIONS(2116), + [anon_sym_RBRACK] = ACTIONS(2116), + [aux_sym_range_constraint_token1] = ACTIONS(2116), + [aux_sym_ascending_range_token1] = ACTIONS(2116), + [aux_sym_descending_range_token1] = ACTIONS(2116), + [aux_sym_physical_type_definition_token1] = ACTIONS(2116), + [aux_sym_open_token1] = ACTIONS(2116), + [aux_sym_signal_kind_token1] = ACTIONS(2116), + [aux_sym_signal_kind_token2] = ACTIONS(2116), + [anon_sym_EQ_GT] = ACTIONS(2116), + [anon_sym_SQUOTE] = ACTIONS(2116), + [anon_sym_GT_GT] = ACTIONS(2116), + [anon_sym_COLON_EQ] = ACTIONS(2116), + [aux_sym_inertial_expression_token1] = ACTIONS(2116), + [aux_sym_reduction_token1] = ACTIONS(2116), + [aux_sym_reduction_token2] = ACTIONS(2116), + [aux_sym_reduction_token3] = ACTIONS(2116), + [aux_sym_reduction_token4] = ACTIONS(2116), + [aux_sym_reduction_token5] = ACTIONS(2116), + [aux_sym_reduction_token6] = ACTIONS(2116), + [anon_sym_PLUS] = ACTIONS(2116), + [anon_sym_DASH] = ACTIONS(2114), + [anon_sym_LT] = ACTIONS(2114), + [anon_sym_GT] = ACTIONS(2114), + [anon_sym_EQ2] = ACTIONS(2114), + [anon_sym_LT_EQ] = ACTIONS(2116), + [anon_sym_GT_EQ] = ACTIONS(2116), + [anon_sym_SLASH_EQ] = ACTIONS(2116), + [anon_sym_QMARK_LT] = ACTIONS(2114), + [anon_sym_QMARK_GT] = ACTIONS(2114), + [anon_sym_QMARK_EQ] = ACTIONS(2116), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2116), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2116), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2116), + [aux_sym_shift_expression_token1] = ACTIONS(2116), + [aux_sym_shift_expression_token2] = ACTIONS(2116), + [aux_sym_shift_expression_token3] = ACTIONS(2116), + [aux_sym_shift_expression_token4] = ACTIONS(2116), + [aux_sym_shift_expression_token5] = ACTIONS(2116), + [aux_sym_shift_expression_token6] = ACTIONS(2116), + [anon_sym_AMP] = ACTIONS(2116), + [anon_sym_STAR] = ACTIONS(2114), + [anon_sym_SLASH] = ACTIONS(2114), + [aux_sym_term_token1] = ACTIONS(2116), + [aux_sym_term_token2] = ACTIONS(2116), + [anon_sym_STAR_STAR] = ACTIONS(2116), + [anon_sym_PIPE] = ACTIONS(2116), + [aux_sym__report_token1] = ACTIONS(2116), + [aux_sym__severity_token1] = ACTIONS(2116), + [aux_sym__after_token1] = ACTIONS(2116), + [aux_sym__when_clause_token1] = ACTIONS(2116), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2116), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2116), + [aux_sym_if_token1] = ACTIONS(2116), + [aux_sym_loop_statement_token1] = ACTIONS(2116), + [aux_sym_for_generate_statement_token1] = ACTIONS(2116), + [sym_extended_identifier] = ACTIONS(2116), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2116), + }, + [632] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6345), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [633] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6516), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [634] = { + [sym_signature] = STATE(5304), + [sym_resolution_function] = STATE(5690), + [sym_parenthesized_resolution] = STATE(5690), + [sym_record_resolution] = STATE(5690), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(4373), + [sym_selected_name] = STATE(4373), + [sym_ambiguous_name] = STATE(4609), + [sym_slice_name] = STATE(5894), + [sym_attribute_name] = STATE(4622), + [sym__attribute_designator] = STATE(586), + [sym__predefined_attribute_designator] = STATE(589), + [sym__predefined_attribute_designator_with_expression] = STATE(611), + [sym_external_constant_name] = STATE(4635), + [sym_external_signal_name] = STATE(4635), + [sym_external_variable_name] = STATE(4635), + [sym_function_call] = STATE(4653), + [sym_string_literal] = STATE(3646), + [sym_basic_identifier] = ACTIONS(2099), + [anon_sym_LPAREN] = ACTIONS(2127), + [anon_sym_RPAREN] = ACTIONS(2031), + [anon_sym_COMMA] = ACTIONS(2031), + [anon_sym_LBRACK] = ACTIONS(1999), + [aux_sym_ascending_range_token1] = ACTIONS(2031), + [aux_sym_descending_range_token1] = ACTIONS(2031), + [anon_sym_EQ_GT] = ACTIONS(2031), + [anon_sym_DOT] = ACTIONS(2129), + [anon_sym_SQUOTE] = ACTIONS(2111), + [anon_sym_LT_LT] = ACTIONS(65), + [aux_sym_reduction_token1] = ACTIONS(2031), + [aux_sym_reduction_token2] = ACTIONS(2031), + [aux_sym_reduction_token3] = ACTIONS(2031), + [aux_sym_reduction_token4] = ACTIONS(2031), + [aux_sym_reduction_token5] = ACTIONS(2031), + [aux_sym_reduction_token6] = ACTIONS(2031), + [anon_sym_PLUS] = ACTIONS(2031), + [anon_sym_DASH] = ACTIONS(2029), + [anon_sym_LT] = ACTIONS(2029), + [anon_sym_GT] = ACTIONS(2029), + [anon_sym_EQ2] = ACTIONS(2029), + [anon_sym_LT_EQ] = ACTIONS(2031), + [anon_sym_GT_EQ] = ACTIONS(2031), + [anon_sym_SLASH_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT] = ACTIONS(2029), + [anon_sym_QMARK_GT] = ACTIONS(2029), + [anon_sym_QMARK_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2031), + [aux_sym_shift_expression_token1] = ACTIONS(2031), + [aux_sym_shift_expression_token2] = ACTIONS(2031), + [aux_sym_shift_expression_token3] = ACTIONS(2031), + [aux_sym_shift_expression_token4] = ACTIONS(2031), + [aux_sym_shift_expression_token5] = ACTIONS(2031), + [aux_sym_shift_expression_token6] = ACTIONS(2031), + [anon_sym_AMP] = ACTIONS(2031), + [anon_sym_STAR] = ACTIONS(2029), + [anon_sym_SLASH] = ACTIONS(2029), + [aux_sym_term_token1] = ACTIONS(2031), + [aux_sym_term_token2] = ACTIONS(2031), + [anon_sym_STAR_STAR] = ACTIONS(2031), + [anon_sym_PIPE] = ACTIONS(2031), + [sym_extended_identifier] = ACTIONS(1090), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [635] = { + [sym_basic_identifier] = ACTIONS(2131), + [aux_sym_entity_declaration_token2] = ACTIONS(2133), + [anon_sym_SEMI] = ACTIONS(2133), + [aux_sym_block_configuration_token1] = ACTIONS(2133), + [anon_sym_LPAREN] = ACTIONS(2133), + [anon_sym_RPAREN] = ACTIONS(2133), + [anon_sym_COMMA] = ACTIONS(2133), + [anon_sym_LBRACK] = ACTIONS(2133), + [anon_sym_RBRACK] = ACTIONS(2133), + [aux_sym_range_constraint_token1] = ACTIONS(2133), + [aux_sym_ascending_range_token1] = ACTIONS(2133), + [aux_sym_descending_range_token1] = ACTIONS(2133), + [aux_sym_physical_type_definition_token1] = ACTIONS(2133), + [aux_sym_open_token1] = ACTIONS(2133), + [aux_sym_signal_kind_token1] = ACTIONS(2133), + [aux_sym_signal_kind_token2] = ACTIONS(2133), + [anon_sym_EQ_GT] = ACTIONS(2133), + [anon_sym_SQUOTE] = ACTIONS(2133), + [anon_sym_GT_GT] = ACTIONS(2133), + [anon_sym_COLON_EQ] = ACTIONS(2133), + [aux_sym_inertial_expression_token1] = ACTIONS(2133), + [aux_sym_reduction_token1] = ACTIONS(2133), + [aux_sym_reduction_token2] = ACTIONS(2133), + [aux_sym_reduction_token3] = ACTIONS(2133), + [aux_sym_reduction_token4] = ACTIONS(2133), + [aux_sym_reduction_token5] = ACTIONS(2133), + [aux_sym_reduction_token6] = ACTIONS(2133), + [anon_sym_PLUS] = ACTIONS(2133), + [anon_sym_DASH] = ACTIONS(2131), + [anon_sym_LT] = ACTIONS(2131), + [anon_sym_GT] = ACTIONS(2131), + [anon_sym_EQ2] = ACTIONS(2131), + [anon_sym_LT_EQ] = ACTIONS(2133), + [anon_sym_GT_EQ] = ACTIONS(2133), + [anon_sym_SLASH_EQ] = ACTIONS(2133), + [anon_sym_QMARK_LT] = ACTIONS(2131), + [anon_sym_QMARK_GT] = ACTIONS(2131), + [anon_sym_QMARK_EQ] = ACTIONS(2133), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2133), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2133), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2133), + [aux_sym_shift_expression_token1] = ACTIONS(2133), + [aux_sym_shift_expression_token2] = ACTIONS(2133), + [aux_sym_shift_expression_token3] = ACTIONS(2133), + [aux_sym_shift_expression_token4] = ACTIONS(2133), + [aux_sym_shift_expression_token5] = ACTIONS(2133), + [aux_sym_shift_expression_token6] = ACTIONS(2133), + [anon_sym_AMP] = ACTIONS(2133), + [anon_sym_STAR] = ACTIONS(2131), + [anon_sym_SLASH] = ACTIONS(2131), + [aux_sym_term_token1] = ACTIONS(2133), + [aux_sym_term_token2] = ACTIONS(2133), + [anon_sym_STAR_STAR] = ACTIONS(2133), + [anon_sym_PIPE] = ACTIONS(2133), + [aux_sym__report_token1] = ACTIONS(2133), + [aux_sym__severity_token1] = ACTIONS(2133), + [aux_sym__after_token1] = ACTIONS(2133), + [aux_sym__when_clause_token1] = ACTIONS(2133), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2133), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2133), + [aux_sym_if_token1] = ACTIONS(2133), + [aux_sym_loop_statement_token1] = ACTIONS(2133), + [aux_sym_for_generate_statement_token1] = ACTIONS(2133), + [sym_extended_identifier] = ACTIONS(2133), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2133), + }, + [636] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3337), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym__guard] = STATE(7047), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [637] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3351), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2135), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [638] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [639] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [640] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3337), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym__guard] = STATE(7274), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [641] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3342), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_label] = STATE(811), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1956), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1958), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [642] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6452), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [643] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3337), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym__guard] = STATE(7279), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [644] = { + [sym_verification_unit_binding_indication] = STATE(5335), + [aux_sym_configuration_declaration_repeat1] = STATE(5335), + [ts_builtin_sym_end] = ACTIONS(2137), + [sym_basic_identifier] = ACTIONS(2139), + [aux_sym_entity_declaration_token1] = ACTIONS(2137), + [aux_sym_entity_declaration_token4] = ACTIONS(2141), + [aux_sym_architecture_body_token1] = ACTIONS(2137), + [aux_sym_configuration_declaration_token1] = ACTIONS(2137), + [aux_sym_block_configuration_token1] = ACTIONS(2137), + [anon_sym_LPAREN] = ACTIONS(2137), + [aux_sym__procedure_specification_token1] = ACTIONS(2137), + [aux_sym__procedure_specification_token2] = ACTIONS(2137), + [aux_sym__procedure_specification_token3] = ACTIONS(2137), + [aux_sym__function_specification_token1] = ACTIONS(2137), + [aux_sym_return_token1] = ACTIONS(2137), + [aux_sym_package_declaration_token1] = ACTIONS(2137), + [aux_sym_open_token1] = ACTIONS(2137), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(2137), + [aux_sym_file_type_definition_token1] = ACTIONS(2137), + [aux_sym_subtype_declaration_token1] = ACTIONS(2137), + [aux_sym_constant_declaration_token1] = ACTIONS(2137), + [aux_sym_signal_declaration_token1] = ACTIONS(2137), + [aux_sym_variable_declaration_token1] = ACTIONS(2137), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(2137), + [aux_sym_default_token1] = ACTIONS(2137), + [aux_sym_alias_declaration_token1] = ACTIONS(2137), + [aux_sym_attribute_declaration_token1] = ACTIONS(2137), + [aux_sym_component_declaration_token1] = ACTIONS(2137), + [aux_sym_group_template_declaration_token1] = ACTIONS(2137), + [aux_sym_entity_class_token3] = ACTIONS(2137), + [aux_sym_entity_class_token4] = ACTIONS(2137), + [aux_sym_binding_indication_token1] = ACTIONS(2143), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(2137), + [aux_sym_disconnection_specification_token1] = ACTIONS(2137), + [anon_sym_LT_LT] = ACTIONS(2137), + [aux_sym_null_token1] = ACTIONS(2137), + [aux_sym_wait_statement_token1] = ACTIONS(2137), + [aux_sym_assertion_statement_token1] = ACTIONS(2137), + [aux_sym_assertion_statement_token2] = ACTIONS(2137), + [aux_sym__report_token1] = ACTIONS(2137), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(2137), + [aux_sym_if_statement_token1] = ACTIONS(2137), + [aux_sym_case_statement_token1] = ACTIONS(2137), + [aux_sym_loop_statement_token1] = ACTIONS(2137), + [aux_sym_while_loop_token1] = ACTIONS(2137), + [aux_sym_next_statement_token1] = ACTIONS(2137), + [aux_sym_exit_statement_token1] = ACTIONS(2137), + [aux_sym_block_statement_token1] = ACTIONS(2137), + [aux_sym_process_statement_token1] = ACTIONS(2137), + [aux_sym_library_clause_token1] = ACTIONS(2137), + [aux_sym_context_declaration_token1] = ACTIONS(2137), + [sym_extended_identifier] = ACTIONS(2137), + [aux_sym_character_literal_token1] = ACTIONS(2137), + [aux_sym_character_literal_token2] = ACTIONS(2139), + [aux_sym_string_literal_token1] = ACTIONS(2137), + [aux_sym_string_literal_token2] = ACTIONS(2139), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(2139), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(2137), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(2139), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(2137), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(2137), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(2137), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(2137), + [aux_sym_PSL_VProp_token1] = ACTIONS(2137), + [aux_sym_PSL_VMode_token1] = ACTIONS(2137), + }, + [645] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6040), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [646] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3352), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_SEMI] = ACTIONS(2145), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [647] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3376), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_selected_expressions] = STATE(6086), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [648] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [649] = { + [sym_basic_identifier] = ACTIONS(2065), + [aux_sym_entity_declaration_token2] = ACTIONS(2067), + [anon_sym_SEMI] = ACTIONS(2067), + [aux_sym_block_configuration_token1] = ACTIONS(2067), + [anon_sym_LPAREN] = ACTIONS(2067), + [anon_sym_RPAREN] = ACTIONS(2067), + [anon_sym_COMMA] = ACTIONS(2067), + [anon_sym_LBRACK] = ACTIONS(2067), + [anon_sym_RBRACK] = ACTIONS(2067), + [aux_sym_range_constraint_token1] = ACTIONS(2067), + [aux_sym_ascending_range_token1] = ACTIONS(2067), + [aux_sym_descending_range_token1] = ACTIONS(2067), + [aux_sym_physical_type_definition_token1] = ACTIONS(2067), + [aux_sym_open_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token1] = ACTIONS(2067), + [aux_sym_signal_kind_token2] = ACTIONS(2067), + [anon_sym_EQ_GT] = ACTIONS(2067), + [anon_sym_SQUOTE] = ACTIONS(2067), + [anon_sym_GT_GT] = ACTIONS(2067), + [anon_sym_COLON_EQ] = ACTIONS(2067), + [aux_sym_inertial_expression_token1] = ACTIONS(2067), + [aux_sym_reduction_token1] = ACTIONS(2067), + [aux_sym_reduction_token2] = ACTIONS(2067), + [aux_sym_reduction_token3] = ACTIONS(2067), + [aux_sym_reduction_token4] = ACTIONS(2067), + [aux_sym_reduction_token5] = ACTIONS(2067), + [aux_sym_reduction_token6] = ACTIONS(2067), + [anon_sym_PLUS] = ACTIONS(2067), + [anon_sym_DASH] = ACTIONS(2065), + [anon_sym_LT] = ACTIONS(2065), + [anon_sym_GT] = ACTIONS(2065), + [anon_sym_EQ2] = ACTIONS(2065), + [anon_sym_LT_EQ] = ACTIONS(2067), + [anon_sym_GT_EQ] = ACTIONS(2067), + [anon_sym_SLASH_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT] = ACTIONS(2065), + [anon_sym_QMARK_GT] = ACTIONS(2065), + [anon_sym_QMARK_EQ] = ACTIONS(2067), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2067), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2067), + [aux_sym_shift_expression_token1] = ACTIONS(2067), + [aux_sym_shift_expression_token2] = ACTIONS(2067), + [aux_sym_shift_expression_token3] = ACTIONS(2067), + [aux_sym_shift_expression_token4] = ACTIONS(2067), + [aux_sym_shift_expression_token5] = ACTIONS(2067), + [aux_sym_shift_expression_token6] = ACTIONS(2067), + [anon_sym_AMP] = ACTIONS(2067), + [anon_sym_STAR] = ACTIONS(2065), + [anon_sym_SLASH] = ACTIONS(2065), + [aux_sym_term_token1] = ACTIONS(2067), + [aux_sym_term_token2] = ACTIONS(2067), + [anon_sym_STAR_STAR] = ACTIONS(2067), + [anon_sym_PIPE] = ACTIONS(2067), + [aux_sym__report_token1] = ACTIONS(2067), + [aux_sym__severity_token1] = ACTIONS(2067), + [aux_sym__after_token1] = ACTIONS(2067), + [aux_sym__when_clause_token1] = ACTIONS(2067), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2067), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2067), + [aux_sym_if_token1] = ACTIONS(2067), + [aux_sym_loop_statement_token1] = ACTIONS(2067), + [aux_sym_for_generate_statement_token1] = ACTIONS(2067), + [sym_extended_identifier] = ACTIONS(2067), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2067), + }, + [650] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2809), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [651] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3210), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [652] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3353), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [653] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3346), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [654] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3343), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [655] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3396), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [656] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(2797), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [657] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(2781), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [658] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1912), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [659] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2834), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [660] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1911), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [661] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1904), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [662] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3319), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [663] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1908), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [664] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1907), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [665] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1906), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [666] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1913), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [667] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1909), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [668] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1921), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [669] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2841), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [670] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3311), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [671] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1927), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [672] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3314), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [673] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2192), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [674] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3393), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [675] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3281), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [676] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3391), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [677] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1928), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [678] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3304), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [679] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3355), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [680] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3044), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [681] = { + [sym_basic_identifier] = ACTIONS(2163), + [aux_sym_entity_declaration_token2] = ACTIONS(2165), + [anon_sym_SEMI] = ACTIONS(2165), + [aux_sym_block_configuration_token1] = ACTIONS(2165), + [anon_sym_RPAREN] = ACTIONS(2165), + [anon_sym_COMMA] = ACTIONS(2165), + [anon_sym_LBRACK] = ACTIONS(2165), + [anon_sym_RBRACK] = ACTIONS(2165), + [aux_sym_ascending_range_token1] = ACTIONS(2165), + [aux_sym_descending_range_token1] = ACTIONS(2165), + [aux_sym_physical_type_definition_token1] = ACTIONS(2165), + [aux_sym_open_token1] = ACTIONS(2165), + [aux_sym_signal_kind_token1] = ACTIONS(2165), + [aux_sym_signal_kind_token2] = ACTIONS(2165), + [anon_sym_EQ_GT] = ACTIONS(2165), + [anon_sym_DOT] = ACTIONS(2165), + [anon_sym_SQUOTE] = ACTIONS(2165), + [anon_sym_GT_GT] = ACTIONS(2165), + [anon_sym_COLON_EQ] = ACTIONS(2165), + [aux_sym_inertial_expression_token1] = ACTIONS(2165), + [aux_sym_reduction_token1] = ACTIONS(2165), + [aux_sym_reduction_token2] = ACTIONS(2165), + [aux_sym_reduction_token3] = ACTIONS(2165), + [aux_sym_reduction_token4] = ACTIONS(2165), + [aux_sym_reduction_token5] = ACTIONS(2165), + [aux_sym_reduction_token6] = ACTIONS(2165), + [anon_sym_PLUS] = ACTIONS(2165), + [anon_sym_DASH] = ACTIONS(2163), + [anon_sym_LT] = ACTIONS(2163), + [anon_sym_GT] = ACTIONS(2163), + [anon_sym_EQ2] = ACTIONS(2163), + [anon_sym_LT_EQ] = ACTIONS(2165), + [anon_sym_GT_EQ] = ACTIONS(2165), + [anon_sym_SLASH_EQ] = ACTIONS(2165), + [anon_sym_QMARK_LT] = ACTIONS(2163), + [anon_sym_QMARK_GT] = ACTIONS(2163), + [anon_sym_QMARK_EQ] = ACTIONS(2165), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2165), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2165), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2165), + [aux_sym_shift_expression_token1] = ACTIONS(2165), + [aux_sym_shift_expression_token2] = ACTIONS(2165), + [aux_sym_shift_expression_token3] = ACTIONS(2165), + [aux_sym_shift_expression_token4] = ACTIONS(2165), + [aux_sym_shift_expression_token5] = ACTIONS(2165), + [aux_sym_shift_expression_token6] = ACTIONS(2165), + [anon_sym_AMP] = ACTIONS(2165), + [anon_sym_STAR] = ACTIONS(2163), + [anon_sym_SLASH] = ACTIONS(2163), + [aux_sym_term_token1] = ACTIONS(2165), + [aux_sym_term_token2] = ACTIONS(2165), + [anon_sym_STAR_STAR] = ACTIONS(2165), + [anon_sym_PIPE] = ACTIONS(2165), + [aux_sym__report_token1] = ACTIONS(2165), + [aux_sym__severity_token1] = ACTIONS(2165), + [aux_sym__after_token1] = ACTIONS(2165), + [aux_sym__when_clause_token1] = ACTIONS(2165), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2165), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2165), + [aux_sym_if_token1] = ACTIONS(2165), + [aux_sym_loop_statement_token1] = ACTIONS(2165), + [aux_sym_for_generate_statement_token1] = ACTIONS(2165), + [sym_extended_identifier] = ACTIONS(2165), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2165), + }, + [682] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3045), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [683] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3354), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [684] = { + [sym_basic_identifier] = ACTIONS(2167), + [aux_sym_entity_declaration_token2] = ACTIONS(2169), + [anon_sym_SEMI] = ACTIONS(2169), + [aux_sym_block_configuration_token1] = ACTIONS(2169), + [anon_sym_RPAREN] = ACTIONS(2169), + [anon_sym_COMMA] = ACTIONS(2169), + [anon_sym_LBRACK] = ACTIONS(2169), + [anon_sym_RBRACK] = ACTIONS(2169), + [aux_sym_ascending_range_token1] = ACTIONS(2169), + [aux_sym_descending_range_token1] = ACTIONS(2169), + [aux_sym_physical_type_definition_token1] = ACTIONS(2169), + [aux_sym_open_token1] = ACTIONS(2169), + [aux_sym_signal_kind_token1] = ACTIONS(2169), + [aux_sym_signal_kind_token2] = ACTIONS(2169), + [anon_sym_EQ_GT] = ACTIONS(2169), + [anon_sym_DOT] = ACTIONS(2169), + [anon_sym_SQUOTE] = ACTIONS(2169), + [anon_sym_GT_GT] = ACTIONS(2169), + [anon_sym_COLON_EQ] = ACTIONS(2169), + [aux_sym_inertial_expression_token1] = ACTIONS(2169), + [aux_sym_reduction_token1] = ACTIONS(2169), + [aux_sym_reduction_token2] = ACTIONS(2169), + [aux_sym_reduction_token3] = ACTIONS(2169), + [aux_sym_reduction_token4] = ACTIONS(2169), + [aux_sym_reduction_token5] = ACTIONS(2169), + [aux_sym_reduction_token6] = ACTIONS(2169), + [anon_sym_PLUS] = ACTIONS(2169), + [anon_sym_DASH] = ACTIONS(2167), + [anon_sym_LT] = ACTIONS(2167), + [anon_sym_GT] = ACTIONS(2167), + [anon_sym_EQ2] = ACTIONS(2167), + [anon_sym_LT_EQ] = ACTIONS(2169), + [anon_sym_GT_EQ] = ACTIONS(2169), + [anon_sym_SLASH_EQ] = ACTIONS(2169), + [anon_sym_QMARK_LT] = ACTIONS(2167), + [anon_sym_QMARK_GT] = ACTIONS(2167), + [anon_sym_QMARK_EQ] = ACTIONS(2169), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2169), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2169), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2169), + [aux_sym_shift_expression_token1] = ACTIONS(2169), + [aux_sym_shift_expression_token2] = ACTIONS(2169), + [aux_sym_shift_expression_token3] = ACTIONS(2169), + [aux_sym_shift_expression_token4] = ACTIONS(2169), + [aux_sym_shift_expression_token5] = ACTIONS(2169), + [aux_sym_shift_expression_token6] = ACTIONS(2169), + [anon_sym_AMP] = ACTIONS(2169), + [anon_sym_STAR] = ACTIONS(2167), + [anon_sym_SLASH] = ACTIONS(2167), + [aux_sym_term_token1] = ACTIONS(2169), + [aux_sym_term_token2] = ACTIONS(2169), + [anon_sym_STAR_STAR] = ACTIONS(2169), + [anon_sym_PIPE] = ACTIONS(2169), + [aux_sym__report_token1] = ACTIONS(2169), + [aux_sym__severity_token1] = ACTIONS(2169), + [aux_sym__after_token1] = ACTIONS(2169), + [aux_sym__when_clause_token1] = ACTIONS(2169), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2169), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2169), + [aux_sym_if_token1] = ACTIONS(2169), + [aux_sym_loop_statement_token1] = ACTIONS(2169), + [aux_sym_for_generate_statement_token1] = ACTIONS(2169), + [sym_extended_identifier] = ACTIONS(2169), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2169), + }, + [685] = { + [sym_basic_identifier] = ACTIONS(2171), + [aux_sym_entity_declaration_token2] = ACTIONS(2173), + [anon_sym_SEMI] = ACTIONS(2173), + [aux_sym_block_configuration_token1] = ACTIONS(2173), + [anon_sym_RPAREN] = ACTIONS(2173), + [anon_sym_COMMA] = ACTIONS(2173), + [anon_sym_LBRACK] = ACTIONS(2173), + [anon_sym_RBRACK] = ACTIONS(2173), + [aux_sym_ascending_range_token1] = ACTIONS(2173), + [aux_sym_descending_range_token1] = ACTIONS(2173), + [aux_sym_physical_type_definition_token1] = ACTIONS(2173), + [aux_sym_open_token1] = ACTIONS(2173), + [aux_sym_signal_kind_token1] = ACTIONS(2173), + [aux_sym_signal_kind_token2] = ACTIONS(2173), + [anon_sym_EQ_GT] = ACTIONS(2173), + [anon_sym_DOT] = ACTIONS(2173), + [anon_sym_SQUOTE] = ACTIONS(2173), + [anon_sym_GT_GT] = ACTIONS(2173), + [anon_sym_COLON_EQ] = ACTIONS(2173), + [aux_sym_inertial_expression_token1] = ACTIONS(2173), + [aux_sym_reduction_token1] = ACTIONS(2173), + [aux_sym_reduction_token2] = ACTIONS(2173), + [aux_sym_reduction_token3] = ACTIONS(2173), + [aux_sym_reduction_token4] = ACTIONS(2173), + [aux_sym_reduction_token5] = ACTIONS(2173), + [aux_sym_reduction_token6] = ACTIONS(2173), + [anon_sym_PLUS] = ACTIONS(2173), + [anon_sym_DASH] = ACTIONS(2171), + [anon_sym_LT] = ACTIONS(2171), + [anon_sym_GT] = ACTIONS(2171), + [anon_sym_EQ2] = ACTIONS(2171), + [anon_sym_LT_EQ] = ACTIONS(2173), + [anon_sym_GT_EQ] = ACTIONS(2173), + [anon_sym_SLASH_EQ] = ACTIONS(2173), + [anon_sym_QMARK_LT] = ACTIONS(2171), + [anon_sym_QMARK_GT] = ACTIONS(2171), + [anon_sym_QMARK_EQ] = ACTIONS(2173), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2173), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2173), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2173), + [aux_sym_shift_expression_token1] = ACTIONS(2173), + [aux_sym_shift_expression_token2] = ACTIONS(2173), + [aux_sym_shift_expression_token3] = ACTIONS(2173), + [aux_sym_shift_expression_token4] = ACTIONS(2173), + [aux_sym_shift_expression_token5] = ACTIONS(2173), + [aux_sym_shift_expression_token6] = ACTIONS(2173), + [anon_sym_AMP] = ACTIONS(2173), + [anon_sym_STAR] = ACTIONS(2171), + [anon_sym_SLASH] = ACTIONS(2171), + [aux_sym_term_token1] = ACTIONS(2173), + [aux_sym_term_token2] = ACTIONS(2173), + [anon_sym_STAR_STAR] = ACTIONS(2173), + [anon_sym_PIPE] = ACTIONS(2173), + [aux_sym__report_token1] = ACTIONS(2173), + [aux_sym__severity_token1] = ACTIONS(2173), + [aux_sym__after_token1] = ACTIONS(2173), + [aux_sym__when_clause_token1] = ACTIONS(2173), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2173), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2173), + [aux_sym_if_token1] = ACTIONS(2173), + [aux_sym_loop_statement_token1] = ACTIONS(2173), + [aux_sym_for_generate_statement_token1] = ACTIONS(2173), + [sym_extended_identifier] = ACTIONS(2173), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2173), + }, + [686] = { + [sym_basic_identifier] = ACTIONS(2175), + [aux_sym_entity_declaration_token2] = ACTIONS(2177), + [anon_sym_SEMI] = ACTIONS(2177), + [aux_sym_architecture_body_token2] = ACTIONS(2177), + [aux_sym_block_configuration_token1] = ACTIONS(2177), + [anon_sym_LPAREN] = ACTIONS(2177), + [anon_sym_RPAREN] = ACTIONS(2177), + [anon_sym_COMMA] = ACTIONS(2177), + [anon_sym_LBRACK] = ACTIONS(2177), + [anon_sym_RBRACK] = ACTIONS(2177), + [aux_sym_ascending_range_token1] = ACTIONS(2177), + [aux_sym_descending_range_token1] = ACTIONS(2177), + [aux_sym_physical_type_definition_token1] = ACTIONS(2177), + [aux_sym_open_token1] = ACTIONS(2177), + [aux_sym_signal_kind_token1] = ACTIONS(2177), + [aux_sym_signal_kind_token2] = ACTIONS(2177), + [anon_sym_EQ_GT] = ACTIONS(2177), + [anon_sym_GT_GT] = ACTIONS(2177), + [anon_sym_COLON_EQ] = ACTIONS(2177), + [aux_sym_inertial_expression_token1] = ACTIONS(2177), + [aux_sym_reduction_token1] = ACTIONS(2177), + [aux_sym_reduction_token2] = ACTIONS(2177), + [aux_sym_reduction_token3] = ACTIONS(2177), + [aux_sym_reduction_token4] = ACTIONS(2177), + [aux_sym_reduction_token5] = ACTIONS(2177), + [aux_sym_reduction_token6] = ACTIONS(2177), + [anon_sym_PLUS] = ACTIONS(2177), + [anon_sym_DASH] = ACTIONS(2175), + [anon_sym_LT] = ACTIONS(2175), + [anon_sym_GT] = ACTIONS(2175), + [anon_sym_EQ2] = ACTIONS(2175), + [anon_sym_LT_EQ] = ACTIONS(2177), + [anon_sym_GT_EQ] = ACTIONS(2177), + [anon_sym_SLASH_EQ] = ACTIONS(2177), + [anon_sym_QMARK_LT] = ACTIONS(2175), + [anon_sym_QMARK_GT] = ACTIONS(2175), + [anon_sym_QMARK_EQ] = ACTIONS(2177), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2177), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2177), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2177), + [aux_sym_shift_expression_token1] = ACTIONS(2177), + [aux_sym_shift_expression_token2] = ACTIONS(2177), + [aux_sym_shift_expression_token3] = ACTIONS(2177), + [aux_sym_shift_expression_token4] = ACTIONS(2177), + [aux_sym_shift_expression_token5] = ACTIONS(2177), + [aux_sym_shift_expression_token6] = ACTIONS(2177), + [anon_sym_AMP] = ACTIONS(2177), + [anon_sym_STAR] = ACTIONS(2175), + [anon_sym_SLASH] = ACTIONS(2175), + [aux_sym_term_token1] = ACTIONS(2177), + [aux_sym_term_token2] = ACTIONS(2177), + [anon_sym_STAR_STAR] = ACTIONS(2177), + [anon_sym_PIPE] = ACTIONS(2177), + [aux_sym__report_token1] = ACTIONS(2177), + [aux_sym__severity_token1] = ACTIONS(2177), + [aux_sym__after_token1] = ACTIONS(2177), + [aux_sym__when_clause_token1] = ACTIONS(2177), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2177), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2177), + [aux_sym_if_token1] = ACTIONS(2177), + [aux_sym_loop_statement_token1] = ACTIONS(2177), + [aux_sym_for_generate_statement_token1] = ACTIONS(2177), + [sym_extended_identifier] = ACTIONS(2177), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2177), + }, + [687] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2831), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [688] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2863), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [689] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2857), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [690] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3079), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [691] = { + [sym_basic_identifier] = ACTIONS(2179), + [aux_sym_entity_declaration_token2] = ACTIONS(2181), + [anon_sym_SEMI] = ACTIONS(2181), + [aux_sym_architecture_body_token2] = ACTIONS(2181), + [aux_sym_block_configuration_token1] = ACTIONS(2181), + [anon_sym_LPAREN] = ACTIONS(2181), + [anon_sym_RPAREN] = ACTIONS(2181), + [anon_sym_COMMA] = ACTIONS(2181), + [anon_sym_LBRACK] = ACTIONS(2181), + [anon_sym_RBRACK] = ACTIONS(2181), + [aux_sym_ascending_range_token1] = ACTIONS(2181), + [aux_sym_descending_range_token1] = ACTIONS(2181), + [aux_sym_physical_type_definition_token1] = ACTIONS(2181), + [aux_sym_open_token1] = ACTIONS(2181), + [aux_sym_signal_kind_token1] = ACTIONS(2181), + [aux_sym_signal_kind_token2] = ACTIONS(2181), + [anon_sym_EQ_GT] = ACTIONS(2181), + [anon_sym_GT_GT] = ACTIONS(2181), + [anon_sym_COLON_EQ] = ACTIONS(2181), + [aux_sym_inertial_expression_token1] = ACTIONS(2181), + [aux_sym_reduction_token1] = ACTIONS(2181), + [aux_sym_reduction_token2] = ACTIONS(2181), + [aux_sym_reduction_token3] = ACTIONS(2181), + [aux_sym_reduction_token4] = ACTIONS(2181), + [aux_sym_reduction_token5] = ACTIONS(2181), + [aux_sym_reduction_token6] = ACTIONS(2181), + [anon_sym_PLUS] = ACTIONS(2181), + [anon_sym_DASH] = ACTIONS(2179), + [anon_sym_LT] = ACTIONS(2179), + [anon_sym_GT] = ACTIONS(2179), + [anon_sym_EQ2] = ACTIONS(2179), + [anon_sym_LT_EQ] = ACTIONS(2181), + [anon_sym_GT_EQ] = ACTIONS(2181), + [anon_sym_SLASH_EQ] = ACTIONS(2181), + [anon_sym_QMARK_LT] = ACTIONS(2179), + [anon_sym_QMARK_GT] = ACTIONS(2179), + [anon_sym_QMARK_EQ] = ACTIONS(2181), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2181), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2181), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2181), + [aux_sym_shift_expression_token1] = ACTIONS(2181), + [aux_sym_shift_expression_token2] = ACTIONS(2181), + [aux_sym_shift_expression_token3] = ACTIONS(2181), + [aux_sym_shift_expression_token4] = ACTIONS(2181), + [aux_sym_shift_expression_token5] = ACTIONS(2181), + [aux_sym_shift_expression_token6] = ACTIONS(2181), + [anon_sym_AMP] = ACTIONS(2181), + [anon_sym_STAR] = ACTIONS(2179), + [anon_sym_SLASH] = ACTIONS(2179), + [aux_sym_term_token1] = ACTIONS(2181), + [aux_sym_term_token2] = ACTIONS(2181), + [anon_sym_STAR_STAR] = ACTIONS(2181), + [anon_sym_PIPE] = ACTIONS(2181), + [aux_sym__report_token1] = ACTIONS(2181), + [aux_sym__severity_token1] = ACTIONS(2181), + [aux_sym__after_token1] = ACTIONS(2181), + [aux_sym__when_clause_token1] = ACTIONS(2181), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2181), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2181), + [aux_sym_if_token1] = ACTIONS(2181), + [aux_sym_loop_statement_token1] = ACTIONS(2181), + [aux_sym_for_generate_statement_token1] = ACTIONS(2181), + [sym_extended_identifier] = ACTIONS(2181), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2181), + }, + [692] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2856), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [693] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3055), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [694] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3047), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [695] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3048), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [696] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3245), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [697] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2130), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [698] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3364), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [699] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3234), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [700] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2177), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [701] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1919), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [702] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2176), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [703] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1933), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [704] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1918), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [705] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1917), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [706] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2175), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [707] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3256), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [708] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3194), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [709] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2168), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [710] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3193), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [711] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3192), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [712] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2166), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [713] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2165), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [714] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3191), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [715] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1970), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [716] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2142), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [717] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1952), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [718] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1951), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [719] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2131), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [720] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1950), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [721] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2057), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [722] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3383), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [723] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2132), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [724] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2133), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [725] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3262), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [726] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3261), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [727] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2041), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [728] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2036), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [729] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3232), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [730] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3063), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [731] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2035), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [732] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2406), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [733] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3190), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [734] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2054), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [735] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3185), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [736] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3181), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [737] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3179), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [738] = { + [sym_basic_identifier] = ACTIONS(2185), + [aux_sym_entity_declaration_token2] = ACTIONS(2187), + [anon_sym_SEMI] = ACTIONS(2187), + [aux_sym_block_configuration_token1] = ACTIONS(2187), + [anon_sym_LPAREN] = ACTIONS(2187), + [anon_sym_RPAREN] = ACTIONS(2187), + [anon_sym_COMMA] = ACTIONS(2187), + [anon_sym_LBRACK] = ACTIONS(2187), + [anon_sym_RBRACK] = ACTIONS(2187), + [aux_sym_ascending_range_token1] = ACTIONS(2187), + [aux_sym_descending_range_token1] = ACTIONS(2187), + [aux_sym_physical_type_definition_token1] = ACTIONS(2187), + [aux_sym_open_token1] = ACTIONS(2187), + [aux_sym_signal_kind_token1] = ACTIONS(2187), + [aux_sym_signal_kind_token2] = ACTIONS(2187), + [anon_sym_EQ_GT] = ACTIONS(2187), + [anon_sym_DOT] = ACTIONS(2187), + [anon_sym_GT_GT] = ACTIONS(2187), + [anon_sym_COLON_EQ] = ACTIONS(2187), + [aux_sym_inertial_expression_token1] = ACTIONS(2187), + [aux_sym_reduction_token1] = ACTIONS(2187), + [aux_sym_reduction_token2] = ACTIONS(2187), + [aux_sym_reduction_token3] = ACTIONS(2187), + [aux_sym_reduction_token4] = ACTIONS(2187), + [aux_sym_reduction_token5] = ACTIONS(2187), + [aux_sym_reduction_token6] = ACTIONS(2187), + [anon_sym_PLUS] = ACTIONS(2187), + [anon_sym_DASH] = ACTIONS(2185), + [anon_sym_LT] = ACTIONS(2185), + [anon_sym_GT] = ACTIONS(2185), + [anon_sym_EQ2] = ACTIONS(2185), + [anon_sym_LT_EQ] = ACTIONS(2187), + [anon_sym_GT_EQ] = ACTIONS(2187), + [anon_sym_SLASH_EQ] = ACTIONS(2187), + [anon_sym_QMARK_LT] = ACTIONS(2185), + [anon_sym_QMARK_GT] = ACTIONS(2185), + [anon_sym_QMARK_EQ] = ACTIONS(2187), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2187), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2187), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2187), + [aux_sym_shift_expression_token1] = ACTIONS(2187), + [aux_sym_shift_expression_token2] = ACTIONS(2187), + [aux_sym_shift_expression_token3] = ACTIONS(2187), + [aux_sym_shift_expression_token4] = ACTIONS(2187), + [aux_sym_shift_expression_token5] = ACTIONS(2187), + [aux_sym_shift_expression_token6] = ACTIONS(2187), + [anon_sym_AMP] = ACTIONS(2187), + [anon_sym_STAR] = ACTIONS(2185), + [anon_sym_SLASH] = ACTIONS(2185), + [aux_sym_term_token1] = ACTIONS(2187), + [aux_sym_term_token2] = ACTIONS(2187), + [anon_sym_STAR_STAR] = ACTIONS(2187), + [anon_sym_PIPE] = ACTIONS(2187), + [aux_sym__report_token1] = ACTIONS(2187), + [aux_sym__severity_token1] = ACTIONS(2187), + [aux_sym__after_token1] = ACTIONS(2187), + [aux_sym__when_clause_token1] = ACTIONS(2187), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2187), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2187), + [aux_sym_if_token1] = ACTIONS(2187), + [aux_sym_loop_statement_token1] = ACTIONS(2187), + [aux_sym_for_generate_statement_token1] = ACTIONS(2187), + [sym_extended_identifier] = ACTIONS(2187), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2187), + }, + [739] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3176), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [740] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3175), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [741] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2034), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [742] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2020), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [743] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2017), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [744] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2069), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [745] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2076), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [746] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3274), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [747] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2062), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [748] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3349), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [749] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3375), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [750] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3268), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [751] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2196), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [752] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2015), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [753] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3267), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [754] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(1991), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [755] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3325), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [756] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1958), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [757] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1955), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [758] = { + [sym_signature] = STATE(5304), + [sym__attribute_designator] = STATE(586), + [sym__predefined_attribute_designator] = STATE(589), + [sym__predefined_attribute_designator_with_expression] = STATE(611), + [sym_basic_identifier] = ACTIONS(2189), + [aux_sym_entity_declaration_token2] = ACTIONS(1994), + [anon_sym_SEMI] = ACTIONS(1994), + [aux_sym_block_configuration_token1] = ACTIONS(1994), + [anon_sym_LPAREN] = ACTIONS(2191), + [anon_sym_RPAREN] = ACTIONS(1994), + [anon_sym_COMMA] = ACTIONS(1994), + [anon_sym_LBRACK] = ACTIONS(1999), + [aux_sym_range_constraint_token1] = ACTIONS(1994), + [aux_sym_ascending_range_token1] = ACTIONS(1994), + [aux_sym_descending_range_token1] = ACTIONS(1994), + [aux_sym_physical_type_definition_token1] = ACTIONS(1994), + [aux_sym_open_token1] = ACTIONS(1994), + [aux_sym_signal_kind_token1] = ACTIONS(1994), + [aux_sym_signal_kind_token2] = ACTIONS(1994), + [anon_sym_DOT] = ACTIONS(2194), + [anon_sym_SQUOTE] = ACTIONS(2001), + [anon_sym_LT_LT] = ACTIONS(2196), + [anon_sym_COLON_EQ] = ACTIONS(1994), + [aux_sym_reduction_token1] = ACTIONS(1994), + [aux_sym_reduction_token2] = ACTIONS(1994), + [aux_sym_reduction_token3] = ACTIONS(1994), + [aux_sym_reduction_token4] = ACTIONS(1994), + [aux_sym_reduction_token5] = ACTIONS(1994), + [aux_sym_reduction_token6] = ACTIONS(1994), + [anon_sym_PLUS] = ACTIONS(1994), + [anon_sym_DASH] = ACTIONS(1992), + [anon_sym_LT] = ACTIONS(1992), + [anon_sym_GT] = ACTIONS(1992), + [anon_sym_EQ2] = ACTIONS(1994), + [anon_sym_LT_EQ] = ACTIONS(1994), + [anon_sym_GT_EQ] = ACTIONS(1994), + [anon_sym_SLASH_EQ] = ACTIONS(1994), + [anon_sym_QMARK_LT] = ACTIONS(1992), + [anon_sym_QMARK_GT] = ACTIONS(1992), + [anon_sym_QMARK_EQ] = ACTIONS(1994), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1994), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1994), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1994), + [aux_sym_shift_expression_token1] = ACTIONS(1994), + [aux_sym_shift_expression_token2] = ACTIONS(1994), + [aux_sym_shift_expression_token3] = ACTIONS(1994), + [aux_sym_shift_expression_token4] = ACTIONS(1994), + [aux_sym_shift_expression_token5] = ACTIONS(1994), + [aux_sym_shift_expression_token6] = ACTIONS(1994), + [anon_sym_AMP] = ACTIONS(1994), + [anon_sym_STAR] = ACTIONS(1992), + [anon_sym_SLASH] = ACTIONS(1992), + [aux_sym_term_token1] = ACTIONS(1994), + [aux_sym_term_token2] = ACTIONS(1994), + [anon_sym_STAR_STAR] = ACTIONS(1994), + [anon_sym_PIPE] = ACTIONS(1994), + [aux_sym__report_token1] = ACTIONS(1994), + [aux_sym__severity_token1] = ACTIONS(1994), + [aux_sym__after_token1] = ACTIONS(1994), + [aux_sym__when_clause_token1] = ACTIONS(1994), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(1994), + [sym_extended_identifier] = ACTIONS(2196), + [aux_sym_string_literal_token1] = ACTIONS(2196), + [aux_sym_string_literal_token2] = ACTIONS(2189), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [759] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3381), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [760] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2461), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [761] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2405), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [762] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3265), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [763] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2779), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [764] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2782), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [765] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3180), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [766] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3140), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [767] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3129), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [768] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3196), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [769] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3318), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [770] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2430), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [771] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2404), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [772] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2437), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [773] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2440), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [774] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3372), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [775] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3243), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [776] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3053), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [777] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3333), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [778] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3344), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [779] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2227), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [780] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3200), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [781] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3057), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [782] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2423), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [783] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2220), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [784] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2424), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [785] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2233), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [786] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2225), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [787] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3187), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [788] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2425), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [789] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3320), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [790] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2426), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [791] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2441), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [792] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2427), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [793] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2428), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [794] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2429), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [795] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2431), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [796] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3363), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [797] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3296), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [798] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3362), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [799] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2432), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [800] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3280), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [801] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2433), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [802] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2434), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [803] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2442), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [804] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2189), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [805] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2463), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [806] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2444), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [807] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2435), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [808] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2446), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [809] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2789), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [810] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2452), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [811] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3380), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [812] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3379), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [813] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2013), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [814] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2014), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [815] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3378), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [816] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2016), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [817] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2230), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [818] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2026), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(593), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(601), + [aux_sym_reduction_token1] = ACTIONS(603), + [aux_sym_reduction_token2] = ACTIONS(603), + [aux_sym_reduction_token3] = ACTIONS(603), + [aux_sym_reduction_token4] = ACTIONS(603), + [aux_sym_reduction_token5] = ACTIONS(603), + [aux_sym_reduction_token6] = ACTIONS(603), + [aux_sym_factor_token1] = ACTIONS(607), + [aux_sym_factor_token2] = ACTIONS(607), + [anon_sym_PLUS] = ACTIONS(609), + [anon_sym_DASH] = ACTIONS(611), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [819] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2186), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [820] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2185), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [821] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2183), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [822] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2180), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [823] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3150), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [824] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3133), + [sym_selected_name] = STATE(3133), + [sym_ambiguous_name] = STATE(3137), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3212), + [sym_external_signal_name] = STATE(3212), + [sym_external_variable_name] = STATE(3212), + [sym__expr] = STATE(3153), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1890), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1892), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1894), + [aux_sym_reduction_token1] = ACTIONS(1896), + [aux_sym_reduction_token2] = ACTIONS(1896), + [aux_sym_reduction_token3] = ACTIONS(1896), + [aux_sym_reduction_token4] = ACTIONS(1896), + [aux_sym_reduction_token5] = ACTIONS(1896), + [aux_sym_reduction_token6] = ACTIONS(1896), + [aux_sym_factor_token1] = ACTIONS(1898), + [aux_sym_factor_token2] = ACTIONS(1898), + [anon_sym_PLUS] = ACTIONS(1900), + [anon_sym_DASH] = ACTIONS(1902), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [825] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2178), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [826] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3244), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [827] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3242), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [828] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3321), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [829] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3385), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [830] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3323), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [831] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3240), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [832] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2174), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [833] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2167), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [834] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2160), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [835] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3238), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [836] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3237), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [837] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3236), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [838] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3374), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [839] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2157), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [840] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2187), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [841] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3199), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [842] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3233), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [843] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2835), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [844] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3400), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [845] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2184), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [846] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3231), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [847] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2791), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [848] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2201), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [849] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3230), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [850] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3338), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [851] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2200), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [852] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2451), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [853] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2450), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [854] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2449), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [855] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3218), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [856] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2448), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [857] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3329), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [858] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3249), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [859] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3345), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [860] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3326), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [861] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3401), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [862] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3201), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [863] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(3312), + [sym_selected_name] = STATE(3312), + [sym_ambiguous_name] = STATE(3284), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(3164), + [sym_external_constant_name] = STATE(3392), + [sym_external_signal_name] = STATE(3392), + [sym_external_variable_name] = STATE(3392), + [sym__expr] = STATE(3205), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(3189), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1904), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1906), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1908), + [aux_sym_reduction_token1] = ACTIONS(1910), + [aux_sym_reduction_token2] = ACTIONS(1910), + [aux_sym_reduction_token3] = ACTIONS(1910), + [aux_sym_reduction_token4] = ACTIONS(1910), + [aux_sym_reduction_token5] = ACTIONS(1910), + [aux_sym_reduction_token6] = ACTIONS(1910), + [aux_sym_factor_token1] = ACTIONS(1912), + [aux_sym_factor_token2] = ACTIONS(1912), + [anon_sym_PLUS] = ACTIONS(1914), + [anon_sym_DASH] = ACTIONS(1916), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [864] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3278), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [865] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3324), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [866] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3305), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [867] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3303), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [868] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3302), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [869] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3367), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [870] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3301), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [871] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3300), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [872] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2190), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [873] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2846), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [874] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3298), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [875] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3297), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [876] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3295), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [877] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3294), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [878] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3293), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [879] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3021), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [880] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3020), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [881] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2849), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [882] = { + [sym_physical_literal] = STATE(2981), + [sym_type_mark] = STATE(6873), + [sym__operator_symbol] = STATE(7126), + [sym__simple_name] = STATE(2198), + [sym_selected_name] = STATE(2198), + [sym_ambiguous_name] = STATE(2197), + [sym_slice_name] = STATE(2884), + [sym_attribute_name] = STATE(2242), + [sym_external_constant_name] = STATE(2297), + [sym_external_signal_name] = STATE(2297), + [sym_external_variable_name] = STATE(2297), + [sym__expr] = STATE(2195), + [sym__unary_expression] = STATE(2980), + [sym__binary_expression] = STATE(2980), + [sym__primary] = STATE(2980), + [sym_parenthesized_expression] = STATE(2981), + [sym_condition] = STATE(2980), + [sym_reduction] = STATE(2980), + [sym_factor] = STATE(2980), + [sym_sign] = STATE(2980), + [sym_logical_expression] = STATE(2980), + [sym_relation] = STATE(2980), + [sym_shift_expression] = STATE(2980), + [sym_simple_expression] = STATE(2980), + [sym_concatenation] = STATE(2980), + [sym_term] = STATE(2980), + [sym_exponentiation] = STATE(2980), + [sym_null] = STATE(2981), + [sym_aggregate] = STATE(2981), + [sym_function_call] = STATE(2292), + [sym_qualified_expression] = STATE(2981), + [sym_allocator] = STATE(2981), + [sym_character_literal] = STATE(2981), + [sym_string_literal] = STATE(2901), + [sym_bit_string_literal] = STATE(2981), + [sym_basic_identifier] = ACTIONS(1812), + [anon_sym_LPAREN] = ACTIONS(1814), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1816), + [anon_sym_LT_LT] = ACTIONS(1818), + [anon_sym_QMARK_QMARK] = ACTIONS(1820), + [aux_sym_reduction_token1] = ACTIONS(1822), + [aux_sym_reduction_token2] = ACTIONS(1822), + [aux_sym_reduction_token3] = ACTIONS(1822), + [aux_sym_reduction_token4] = ACTIONS(1822), + [aux_sym_reduction_token5] = ACTIONS(1822), + [aux_sym_reduction_token6] = ACTIONS(1822), + [aux_sym_factor_token1] = ACTIONS(1824), + [aux_sym_factor_token2] = ACTIONS(1824), + [anon_sym_PLUS] = ACTIONS(1826), + [anon_sym_DASH] = ACTIONS(1828), + [aux_sym_null_token1] = ACTIONS(1830), + [sym_extended_identifier] = ACTIONS(1832), + [sym_integer_decimal] = ACTIONS(1834), + [sym_real_decimal] = ACTIONS(1836), + [sym_based_integer] = ACTIONS(1836), + [sym_based_real] = ACTIONS(1836), + [aux_sym_character_literal_token1] = ACTIONS(1838), + [aux_sym_character_literal_token2] = ACTIONS(1840), + [aux_sym_string_literal_token1] = ACTIONS(1842), + [aux_sym_string_literal_token2] = ACTIONS(1844), + [aux_sym_bit_string_literal_token1] = ACTIONS(1846), + [aux_sym_bit_string_literal_token2] = ACTIONS(1848), + [aux_sym_bit_string_literal_token3] = ACTIONS(1846), + [aux_sym_bit_string_literal_token4] = ACTIONS(1848), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [883] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3322), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [884] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3313), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [885] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3269), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [886] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3004), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [887] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3010), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [888] = { + [sym_basic_identifier] = ACTIONS(2198), + [aux_sym_entity_declaration_token2] = ACTIONS(2200), + [anon_sym_SEMI] = ACTIONS(2200), + [aux_sym_block_configuration_token1] = ACTIONS(2200), + [anon_sym_LPAREN] = ACTIONS(2200), + [anon_sym_RPAREN] = ACTIONS(2200), + [anon_sym_COMMA] = ACTIONS(2200), + [anon_sym_LBRACK] = ACTIONS(2200), + [anon_sym_RBRACK] = ACTIONS(2200), + [aux_sym_ascending_range_token1] = ACTIONS(2200), + [aux_sym_descending_range_token1] = ACTIONS(2200), + [aux_sym_physical_type_definition_token1] = ACTIONS(2200), + [aux_sym_open_token1] = ACTIONS(2200), + [aux_sym_signal_kind_token1] = ACTIONS(2200), + [aux_sym_signal_kind_token2] = ACTIONS(2200), + [anon_sym_EQ_GT] = ACTIONS(2200), + [anon_sym_SQUOTE] = ACTIONS(2200), + [anon_sym_GT_GT] = ACTIONS(2200), + [anon_sym_COLON_EQ] = ACTIONS(2200), + [aux_sym_inertial_expression_token1] = ACTIONS(2200), + [aux_sym_reduction_token1] = ACTIONS(2200), + [aux_sym_reduction_token2] = ACTIONS(2200), + [aux_sym_reduction_token3] = ACTIONS(2200), + [aux_sym_reduction_token4] = ACTIONS(2200), + [aux_sym_reduction_token5] = ACTIONS(2200), + [aux_sym_reduction_token6] = ACTIONS(2200), + [anon_sym_PLUS] = ACTIONS(2200), + [anon_sym_DASH] = ACTIONS(2198), + [anon_sym_LT] = ACTIONS(2198), + [anon_sym_GT] = ACTIONS(2198), + [anon_sym_EQ2] = ACTIONS(2198), + [anon_sym_LT_EQ] = ACTIONS(2200), + [anon_sym_GT_EQ] = ACTIONS(2200), + [anon_sym_SLASH_EQ] = ACTIONS(2200), + [anon_sym_QMARK_LT] = ACTIONS(2198), + [anon_sym_QMARK_GT] = ACTIONS(2198), + [anon_sym_QMARK_EQ] = ACTIONS(2200), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2200), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2200), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2200), + [aux_sym_shift_expression_token1] = ACTIONS(2200), + [aux_sym_shift_expression_token2] = ACTIONS(2200), + [aux_sym_shift_expression_token3] = ACTIONS(2200), + [aux_sym_shift_expression_token4] = ACTIONS(2200), + [aux_sym_shift_expression_token5] = ACTIONS(2200), + [aux_sym_shift_expression_token6] = ACTIONS(2200), + [anon_sym_AMP] = ACTIONS(2200), + [anon_sym_STAR] = ACTIONS(2198), + [anon_sym_SLASH] = ACTIONS(2198), + [aux_sym_term_token1] = ACTIONS(2200), + [aux_sym_term_token2] = ACTIONS(2200), + [anon_sym_STAR_STAR] = ACTIONS(2200), + [anon_sym_PIPE] = ACTIONS(2200), + [aux_sym__report_token1] = ACTIONS(2200), + [aux_sym__severity_token1] = ACTIONS(2200), + [aux_sym__after_token1] = ACTIONS(2200), + [aux_sym__when_clause_token1] = ACTIONS(2200), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2200), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2200), + [aux_sym_if_token1] = ACTIONS(2200), + [aux_sym_loop_statement_token1] = ACTIONS(2200), + [aux_sym_for_generate_statement_token1] = ACTIONS(2200), + [sym_extended_identifier] = ACTIONS(2200), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2200), + }, + [889] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3002), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [890] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3040), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [891] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(2989), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [892] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(2990), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [893] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3086), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [894] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3369), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [895] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2218), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [896] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2147), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [897] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3270), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [898] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(2991), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [899] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2148), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [900] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2224), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [901] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3271), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [902] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2221), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [903] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(2812), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [904] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(2813), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [905] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1953), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [906] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1949), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [907] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1947), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [908] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3273), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(2147), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(2149), + [aux_sym_reduction_token1] = ACTIONS(2151), + [aux_sym_reduction_token2] = ACTIONS(2151), + [aux_sym_reduction_token3] = ACTIONS(2151), + [aux_sym_reduction_token4] = ACTIONS(2151), + [aux_sym_reduction_token5] = ACTIONS(2151), + [aux_sym_reduction_token6] = ACTIONS(2151), + [aux_sym_factor_token1] = ACTIONS(2153), + [aux_sym_factor_token2] = ACTIONS(2153), + [anon_sym_PLUS] = ACTIONS(2155), + [anon_sym_DASH] = ACTIONS(2157), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(2159), + [sym_real_decimal] = ACTIONS(2161), + [sym_based_integer] = ACTIONS(2161), + [sym_based_real] = ACTIONS(2161), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [909] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(2992), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [910] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1945), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [911] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1944), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [912] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1943), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [913] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1941), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [914] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3366), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [915] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1954), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [916] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2459), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [917] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1937), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [918] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1940), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [919] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2460), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [920] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(2999), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [921] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3399), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [922] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3389), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [923] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2462), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [924] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(1942), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [925] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(1975), + [sym_selected_name] = STATE(1975), + [sym_ambiguous_name] = STATE(1977), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2127), + [sym_external_signal_name] = STATE(2127), + [sym_external_variable_name] = STATE(2127), + [sym__expr] = STATE(2464), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1758), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(659), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(661), + [aux_sym_reduction_token1] = ACTIONS(663), + [aux_sym_reduction_token2] = ACTIONS(663), + [aux_sym_reduction_token3] = ACTIONS(663), + [aux_sym_reduction_token4] = ACTIONS(663), + [aux_sym_reduction_token5] = ACTIONS(663), + [aux_sym_reduction_token6] = ACTIONS(663), + [aux_sym_factor_token1] = ACTIONS(667), + [aux_sym_factor_token2] = ACTIONS(667), + [anon_sym_PLUS] = ACTIONS(669), + [anon_sym_DASH] = ACTIONS(671), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [926] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3000), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [927] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3315), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [928] = { + [sym_physical_literal] = STATE(3455), + [sym_type_mark] = STATE(6897), + [sym__operator_symbol] = STATE(7131), + [sym__simple_name] = STATE(2994), + [sym_selected_name] = STATE(2994), + [sym_ambiguous_name] = STATE(2996), + [sym_slice_name] = STATE(3336), + [sym_attribute_name] = STATE(3051), + [sym_external_constant_name] = STATE(3052), + [sym_external_signal_name] = STATE(3052), + [sym_external_variable_name] = STATE(3052), + [sym__expr] = STATE(3003), + [sym__unary_expression] = STATE(3452), + [sym__binary_expression] = STATE(3452), + [sym__primary] = STATE(3452), + [sym_parenthesized_expression] = STATE(3455), + [sym_condition] = STATE(3452), + [sym_reduction] = STATE(3452), + [sym_factor] = STATE(3452), + [sym_sign] = STATE(3452), + [sym_logical_expression] = STATE(3452), + [sym_relation] = STATE(3452), + [sym_shift_expression] = STATE(3452), + [sym_simple_expression] = STATE(3452), + [sym_concatenation] = STATE(3452), + [sym_term] = STATE(3452), + [sym_exponentiation] = STATE(3452), + [sym_null] = STATE(3455), + [sym_aggregate] = STATE(3455), + [sym_function_call] = STATE(3058), + [sym_qualified_expression] = STATE(3455), + [sym_allocator] = STATE(3455), + [sym_character_literal] = STATE(3455), + [sym_string_literal] = STATE(3409), + [sym_bit_string_literal] = STATE(3455), + [sym_basic_identifier] = ACTIONS(1852), + [anon_sym_LPAREN] = ACTIONS(1854), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1856), + [anon_sym_LT_LT] = ACTIONS(1858), + [anon_sym_QMARK_QMARK] = ACTIONS(1860), + [aux_sym_reduction_token1] = ACTIONS(1862), + [aux_sym_reduction_token2] = ACTIONS(1862), + [aux_sym_reduction_token3] = ACTIONS(1862), + [aux_sym_reduction_token4] = ACTIONS(1862), + [aux_sym_reduction_token5] = ACTIONS(1862), + [aux_sym_reduction_token6] = ACTIONS(1862), + [aux_sym_factor_token1] = ACTIONS(1864), + [aux_sym_factor_token2] = ACTIONS(1864), + [anon_sym_PLUS] = ACTIONS(1866), + [anon_sym_DASH] = ACTIONS(1868), + [aux_sym_null_token1] = ACTIONS(1870), + [sym_extended_identifier] = ACTIONS(1872), + [sym_integer_decimal] = ACTIONS(1874), + [sym_real_decimal] = ACTIONS(1876), + [sym_based_integer] = ACTIONS(1876), + [sym_based_real] = ACTIONS(1876), + [aux_sym_character_literal_token1] = ACTIONS(1878), + [aux_sym_character_literal_token2] = ACTIONS(1880), + [aux_sym_string_literal_token1] = ACTIONS(1882), + [aux_sym_string_literal_token2] = ACTIONS(1884), + [aux_sym_bit_string_literal_token1] = ACTIONS(1886), + [aux_sym_bit_string_literal_token2] = ACTIONS(1888), + [aux_sym_bit_string_literal_token3] = ACTIONS(1886), + [aux_sym_bit_string_literal_token4] = ACTIONS(1888), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [929] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2215), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [930] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3211), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [931] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3395), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [932] = { + [sym_basic_identifier] = ACTIONS(2202), + [aux_sym_entity_declaration_token2] = ACTIONS(2204), + [anon_sym_SEMI] = ACTIONS(2204), + [aux_sym_architecture_body_token2] = ACTIONS(2204), + [aux_sym_block_configuration_token1] = ACTIONS(2204), + [anon_sym_LPAREN] = ACTIONS(2204), + [anon_sym_RPAREN] = ACTIONS(2204), + [anon_sym_COMMA] = ACTIONS(2204), + [anon_sym_LBRACK] = ACTIONS(2204), + [anon_sym_RBRACK] = ACTIONS(2204), + [aux_sym_ascending_range_token1] = ACTIONS(2204), + [aux_sym_descending_range_token1] = ACTIONS(2204), + [aux_sym_physical_type_definition_token1] = ACTIONS(2204), + [aux_sym_open_token1] = ACTIONS(2204), + [aux_sym_signal_kind_token1] = ACTIONS(2204), + [aux_sym_signal_kind_token2] = ACTIONS(2204), + [anon_sym_EQ_GT] = ACTIONS(2204), + [anon_sym_GT_GT] = ACTIONS(2204), + [anon_sym_COLON_EQ] = ACTIONS(2204), + [aux_sym_inertial_expression_token1] = ACTIONS(2204), + [aux_sym_reduction_token1] = ACTIONS(2204), + [aux_sym_reduction_token2] = ACTIONS(2204), + [aux_sym_reduction_token3] = ACTIONS(2204), + [aux_sym_reduction_token4] = ACTIONS(2204), + [aux_sym_reduction_token5] = ACTIONS(2204), + [aux_sym_reduction_token6] = ACTIONS(2204), + [anon_sym_PLUS] = ACTIONS(2204), + [anon_sym_DASH] = ACTIONS(2202), + [anon_sym_LT] = ACTIONS(2202), + [anon_sym_GT] = ACTIONS(2202), + [anon_sym_EQ2] = ACTIONS(2202), + [anon_sym_LT_EQ] = ACTIONS(2204), + [anon_sym_GT_EQ] = ACTIONS(2204), + [anon_sym_SLASH_EQ] = ACTIONS(2204), + [anon_sym_QMARK_LT] = ACTIONS(2202), + [anon_sym_QMARK_GT] = ACTIONS(2202), + [anon_sym_QMARK_EQ] = ACTIONS(2204), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2204), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2204), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2204), + [aux_sym_shift_expression_token1] = ACTIONS(2204), + [aux_sym_shift_expression_token2] = ACTIONS(2204), + [aux_sym_shift_expression_token3] = ACTIONS(2204), + [aux_sym_shift_expression_token4] = ACTIONS(2204), + [aux_sym_shift_expression_token5] = ACTIONS(2204), + [aux_sym_shift_expression_token6] = ACTIONS(2204), + [anon_sym_AMP] = ACTIONS(2204), + [anon_sym_STAR] = ACTIONS(2202), + [anon_sym_SLASH] = ACTIONS(2202), + [aux_sym_term_token1] = ACTIONS(2204), + [aux_sym_term_token2] = ACTIONS(2204), + [anon_sym_STAR_STAR] = ACTIONS(2204), + [anon_sym_PIPE] = ACTIONS(2204), + [aux_sym__report_token1] = ACTIONS(2204), + [aux_sym__severity_token1] = ACTIONS(2204), + [aux_sym__after_token1] = ACTIONS(2204), + [aux_sym__when_clause_token1] = ACTIONS(2204), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2204), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2204), + [aux_sym_if_token1] = ACTIONS(2204), + [aux_sym_loop_statement_token1] = ACTIONS(2204), + [aux_sym_for_generate_statement_token1] = ACTIONS(2204), + [sym_extended_identifier] = ACTIONS(2204), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2204), + }, + [933] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2213), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [934] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2204), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [935] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2154), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [936] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2203), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [937] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2155), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [938] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2161), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [939] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2172), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [940] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2824), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [941] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2802), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [942] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2803), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [943] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2804), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [944] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2199), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [945] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2805), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [946] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2806), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [947] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2825), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [948] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3347), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [949] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2808), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [950] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3203), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [951] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(3327), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [952] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2810), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [953] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1935), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [954] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1903), + [sym_selected_name] = STATE(1903), + [sym_ambiguous_name] = STATE(1902), + [sym_slice_name] = STATE(2188), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1920), + [sym_external_signal_name] = STATE(1920), + [sym_external_variable_name] = STATE(1920), + [sym__expr] = STATE(1934), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1588), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1590), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1594), + [aux_sym_reduction_token1] = ACTIONS(1596), + [aux_sym_reduction_token2] = ACTIONS(1596), + [aux_sym_reduction_token3] = ACTIONS(1596), + [aux_sym_reduction_token4] = ACTIONS(1596), + [aux_sym_reduction_token5] = ACTIONS(1596), + [aux_sym_reduction_token6] = ACTIONS(1596), + [aux_sym_factor_token1] = ACTIONS(1598), + [aux_sym_factor_token2] = ACTIONS(1598), + [anon_sym_PLUS] = ACTIONS(1600), + [anon_sym_DASH] = ACTIONS(1602), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1616), + [sym_real_decimal] = ACTIONS(1618), + [sym_based_integer] = ACTIONS(1618), + [sym_based_real] = ACTIONS(1618), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [955] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2815), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [956] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2816), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [957] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2866), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [958] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2865), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [959] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2817), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [960] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2852), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [961] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2851), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [962] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2826), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [963] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2862), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [964] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2775), + [sym_selected_name] = STATE(2775), + [sym_ambiguous_name] = STATE(2776), + [sym_slice_name] = STATE(3061), + [sym_attribute_name] = STATE(2888), + [sym_external_constant_name] = STATE(2887), + [sym_external_signal_name] = STATE(2887), + [sym_external_variable_name] = STATE(2887), + [sym__expr] = STATE(2854), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2889), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1734), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(765), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(773), + [aux_sym_reduction_token1] = ACTIONS(775), + [aux_sym_reduction_token2] = ACTIONS(775), + [aux_sym_reduction_token3] = ACTIONS(775), + [aux_sym_reduction_token4] = ACTIONS(775), + [aux_sym_reduction_token5] = ACTIONS(775), + [aux_sym_reduction_token6] = ACTIONS(775), + [aux_sym_factor_token1] = ACTIONS(777), + [aux_sym_factor_token2] = ACTIONS(777), + [anon_sym_PLUS] = ACTIONS(779), + [anon_sym_DASH] = ACTIONS(781), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(787), + [sym_real_decimal] = ACTIONS(789), + [sym_based_integer] = ACTIONS(789), + [sym_based_real] = ACTIONS(789), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [965] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2597), + [sym_selected_name] = STATE(2597), + [sym_ambiguous_name] = STATE(2598), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2786), + [sym_external_signal_name] = STATE(2786), + [sym_external_variable_name] = STATE(2786), + [sym__expr] = STATE(2829), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1720), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1722), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1724), + [aux_sym_reduction_token1] = ACTIONS(1726), + [aux_sym_reduction_token2] = ACTIONS(1726), + [aux_sym_reduction_token3] = ACTIONS(1726), + [aux_sym_reduction_token4] = ACTIONS(1726), + [aux_sym_reduction_token5] = ACTIONS(1726), + [aux_sym_reduction_token6] = ACTIONS(1726), + [aux_sym_factor_token1] = ACTIONS(1728), + [aux_sym_factor_token2] = ACTIONS(1728), + [anon_sym_PLUS] = ACTIONS(1730), + [anon_sym_DASH] = ACTIONS(1732), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [966] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2222), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [967] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2415), + [sym_selected_name] = STATE(2415), + [sym_ambiguous_name] = STATE(2416), + [sym_slice_name] = STATE(2969), + [sym_attribute_name] = STATE(1929), + [sym_external_constant_name] = STATE(2553), + [sym_external_signal_name] = STATE(2553), + [sym_external_variable_name] = STATE(2553), + [sym__expr] = STATE(2438), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1931), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(2183), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(693), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(695), + [aux_sym_reduction_token1] = ACTIONS(697), + [aux_sym_reduction_token2] = ACTIONS(697), + [aux_sym_reduction_token3] = ACTIONS(697), + [aux_sym_reduction_token4] = ACTIONS(697), + [aux_sym_reduction_token5] = ACTIONS(697), + [aux_sym_reduction_token6] = ACTIONS(697), + [aux_sym_factor_token1] = ACTIONS(701), + [aux_sym_factor_token2] = ACTIONS(701), + [anon_sym_PLUS] = ACTIONS(703), + [anon_sym_DASH] = ACTIONS(705), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(709), + [sym_real_decimal] = ACTIONS(711), + [sym_based_integer] = ACTIONS(711), + [sym_based_real] = ACTIONS(711), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [968] = { + [sym_physical_literal] = STATE(2312), + [sym_type_mark] = STATE(6839), + [sym__operator_symbol] = STATE(7118), + [sym__simple_name] = STATE(2134), + [sym_selected_name] = STATE(2134), + [sym_ambiguous_name] = STATE(2135), + [sym_slice_name] = STATE(2890), + [sym_attribute_name] = STATE(2325), + [sym_external_constant_name] = STATE(2356), + [sym_external_signal_name] = STATE(2356), + [sym_external_variable_name] = STATE(2356), + [sym__expr] = STATE(2164), + [sym__unary_expression] = STATE(2309), + [sym__binary_expression] = STATE(2309), + [sym__primary] = STATE(2309), + [sym_parenthesized_expression] = STATE(2312), + [sym_condition] = STATE(2309), + [sym_reduction] = STATE(2309), + [sym_factor] = STATE(2309), + [sym_sign] = STATE(2309), + [sym_logical_expression] = STATE(2309), + [sym_relation] = STATE(2309), + [sym_shift_expression] = STATE(2309), + [sym_simple_expression] = STATE(2309), + [sym_concatenation] = STATE(2309), + [sym_term] = STATE(2309), + [sym_exponentiation] = STATE(2309), + [sym_null] = STATE(2312), + [sym_aggregate] = STATE(2312), + [sym_function_call] = STATE(2326), + [sym_qualified_expression] = STATE(2312), + [sym_allocator] = STATE(2312), + [sym_character_literal] = STATE(2312), + [sym_string_literal] = STATE(2193), + [sym_bit_string_literal] = STATE(2312), + [sym_basic_identifier] = ACTIONS(1792), + [anon_sym_LPAREN] = ACTIONS(763), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1794), + [anon_sym_LT_LT] = ACTIONS(771), + [anon_sym_QMARK_QMARK] = ACTIONS(1796), + [aux_sym_reduction_token1] = ACTIONS(1798), + [aux_sym_reduction_token2] = ACTIONS(1798), + [aux_sym_reduction_token3] = ACTIONS(1798), + [aux_sym_reduction_token4] = ACTIONS(1798), + [aux_sym_reduction_token5] = ACTIONS(1798), + [aux_sym_reduction_token6] = ACTIONS(1798), + [aux_sym_factor_token1] = ACTIONS(1800), + [aux_sym_factor_token2] = ACTIONS(1800), + [anon_sym_PLUS] = ACTIONS(1802), + [anon_sym_DASH] = ACTIONS(1804), + [aux_sym_null_token1] = ACTIONS(783), + [sym_extended_identifier] = ACTIONS(1736), + [sym_integer_decimal] = ACTIONS(1806), + [sym_real_decimal] = ACTIONS(1808), + [sym_based_integer] = ACTIONS(1808), + [sym_based_real] = ACTIONS(1808), + [aux_sym_character_literal_token1] = ACTIONS(791), + [aux_sym_character_literal_token2] = ACTIONS(793), + [aux_sym_string_literal_token1] = ACTIONS(795), + [aux_sym_string_literal_token2] = ACTIONS(797), + [aux_sym_bit_string_literal_token1] = ACTIONS(799), + [aux_sym_bit_string_literal_token2] = ACTIONS(801), + [aux_sym_bit_string_literal_token3] = ACTIONS(799), + [aux_sym_bit_string_literal_token4] = ACTIONS(801), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [969] = { + [sym_physical_literal] = STATE(2390), + [sym_type_mark] = STATE(6380), + [sym__operator_symbol] = STATE(6922), + [sym__simple_name] = STATE(2120), + [sym_selected_name] = STATE(2120), + [sym_ambiguous_name] = STATE(2119), + [sym_slice_name] = STATE(2417), + [sym_attribute_name] = STATE(1994), + [sym_external_constant_name] = STATE(2211), + [sym_external_signal_name] = STATE(2211), + [sym_external_variable_name] = STATE(2211), + [sym__expr] = STATE(2219), + [sym__unary_expression] = STATE(2376), + [sym__binary_expression] = STATE(2376), + [sym__primary] = STATE(2376), + [sym_parenthesized_expression] = STATE(2390), + [sym_condition] = STATE(2376), + [sym_reduction] = STATE(2376), + [sym_factor] = STATE(2376), + [sym_sign] = STATE(2376), + [sym_logical_expression] = STATE(2376), + [sym_relation] = STATE(2376), + [sym_shift_expression] = STATE(2376), + [sym_simple_expression] = STATE(2376), + [sym_concatenation] = STATE(2376), + [sym_term] = STATE(2376), + [sym_exponentiation] = STATE(2376), + [sym_null] = STATE(2390), + [sym_aggregate] = STATE(2390), + [sym_function_call] = STATE(1993), + [sym_qualified_expression] = STATE(2390), + [sym_allocator] = STATE(2390), + [sym_character_literal] = STATE(2390), + [sym_string_literal] = STATE(2144), + [sym_bit_string_literal] = STATE(2390), + [sym_basic_identifier] = ACTIONS(1168), + [anon_sym_LPAREN] = ACTIONS(938), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1142), + [anon_sym_LT_LT] = ACTIONS(599), + [anon_sym_QMARK_QMARK] = ACTIONS(1144), + [aux_sym_reduction_token1] = ACTIONS(1146), + [aux_sym_reduction_token2] = ACTIONS(1146), + [aux_sym_reduction_token3] = ACTIONS(1146), + [aux_sym_reduction_token4] = ACTIONS(1146), + [aux_sym_reduction_token5] = ACTIONS(1146), + [aux_sym_reduction_token6] = ACTIONS(1146), + [aux_sym_factor_token1] = ACTIONS(1148), + [aux_sym_factor_token2] = ACTIONS(1148), + [anon_sym_PLUS] = ACTIONS(1150), + [anon_sym_DASH] = ACTIONS(1152), + [aux_sym_null_token1] = ACTIONS(613), + [sym_extended_identifier] = ACTIONS(1154), + [sym_integer_decimal] = ACTIONS(621), + [sym_real_decimal] = ACTIONS(623), + [sym_based_integer] = ACTIONS(623), + [sym_based_real] = ACTIONS(623), + [aux_sym_character_literal_token1] = ACTIONS(625), + [aux_sym_character_literal_token2] = ACTIONS(627), + [aux_sym_string_literal_token1] = ACTIONS(629), + [aux_sym_string_literal_token2] = ACTIONS(631), + [aux_sym_bit_string_literal_token1] = ACTIONS(633), + [aux_sym_bit_string_literal_token2] = ACTIONS(635), + [aux_sym_bit_string_literal_token3] = ACTIONS(633), + [aux_sym_bit_string_literal_token4] = ACTIONS(635), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [970] = { + [sym_physical_literal] = STATE(1701), + [sym_type_mark] = STATE(7294), + [sym__operator_symbol] = STATE(7310), + [sym__simple_name] = STATE(1890), + [sym_selected_name] = STATE(1890), + [sym_ambiguous_name] = STATE(1883), + [sym_slice_name] = STATE(2126), + [sym_attribute_name] = STATE(541), + [sym_external_constant_name] = STATE(1900), + [sym_external_signal_name] = STATE(1900), + [sym_external_variable_name] = STATE(1900), + [sym__expr] = STATE(3359), + [sym__unary_expression] = STATE(1679), + [sym__binary_expression] = STATE(1679), + [sym__primary] = STATE(1679), + [sym_parenthesized_expression] = STATE(1701), + [sym_condition] = STATE(1679), + [sym_reduction] = STATE(1679), + [sym_factor] = STATE(1679), + [sym_sign] = STATE(1679), + [sym_logical_expression] = STATE(1679), + [sym_relation] = STATE(1679), + [sym_shift_expression] = STATE(1679), + [sym_simple_expression] = STATE(1679), + [sym_concatenation] = STATE(1679), + [sym_term] = STATE(1679), + [sym_exponentiation] = STATE(1679), + [sym_null] = STATE(1701), + [sym_aggregate] = STATE(1701), + [sym_function_call] = STATE(539), + [sym_qualified_expression] = STATE(1701), + [sym_allocator] = STATE(1701), + [sym_character_literal] = STATE(1701), + [sym_string_literal] = STATE(981), + [sym_bit_string_literal] = STATE(1701), + [sym_basic_identifier] = ACTIONS(1740), + [anon_sym_LPAREN] = ACTIONS(1072), + [aux_sym_procedure_instantiation_declaration_token1] = ACTIONS(1074), + [anon_sym_LT_LT] = ACTIONS(1078), + [anon_sym_QMARK_QMARK] = ACTIONS(1080), + [aux_sym_reduction_token1] = ACTIONS(1082), + [aux_sym_reduction_token2] = ACTIONS(1082), + [aux_sym_reduction_token3] = ACTIONS(1082), + [aux_sym_reduction_token4] = ACTIONS(1082), + [aux_sym_reduction_token5] = ACTIONS(1082), + [aux_sym_reduction_token6] = ACTIONS(1082), + [aux_sym_factor_token1] = ACTIONS(1084), + [aux_sym_factor_token2] = ACTIONS(1084), + [anon_sym_PLUS] = ACTIONS(1162), + [anon_sym_DASH] = ACTIONS(1086), + [aux_sym_null_token1] = ACTIONS(1088), + [sym_extended_identifier] = ACTIONS(1090), + [sym_integer_decimal] = ACTIONS(1092), + [sym_real_decimal] = ACTIONS(1094), + [sym_based_integer] = ACTIONS(1094), + [sym_based_real] = ACTIONS(1094), + [aux_sym_character_literal_token1] = ACTIONS(1096), + [aux_sym_character_literal_token2] = ACTIONS(1098), + [aux_sym_string_literal_token1] = ACTIONS(105), + [aux_sym_string_literal_token2] = ACTIONS(107), + [aux_sym_bit_string_literal_token1] = ACTIONS(1100), + [aux_sym_bit_string_literal_token2] = ACTIONS(1102), + [aux_sym_bit_string_literal_token3] = ACTIONS(1100), + [aux_sym_bit_string_literal_token4] = ACTIONS(1102), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + }, + [971] = { + [ts_builtin_sym_end] = ACTIONS(2206), + [sym_basic_identifier] = ACTIONS(2208), + [aux_sym_entity_declaration_token1] = ACTIONS(2206), + [aux_sym_entity_declaration_token4] = ACTIONS(2206), + [aux_sym_architecture_body_token1] = ACTIONS(2206), + [aux_sym_configuration_declaration_token1] = ACTIONS(2206), + [aux_sym_block_configuration_token1] = ACTIONS(2206), + [anon_sym_LPAREN] = ACTIONS(2206), + [aux_sym__procedure_specification_token1] = ACTIONS(2206), + [aux_sym__procedure_specification_token2] = ACTIONS(2206), + [aux_sym__procedure_specification_token3] = ACTIONS(2206), + [aux_sym__function_specification_token1] = ACTIONS(2206), + [aux_sym_return_token1] = ACTIONS(2206), + [aux_sym_package_declaration_token1] = ACTIONS(2206), + [aux_sym_open_token1] = ACTIONS(2206), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(2206), + [aux_sym_file_type_definition_token1] = ACTIONS(2206), + [aux_sym_subtype_declaration_token1] = ACTIONS(2206), + [aux_sym_constant_declaration_token1] = ACTIONS(2206), + [aux_sym_signal_declaration_token1] = ACTIONS(2206), + [aux_sym_variable_declaration_token1] = ACTIONS(2206), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(2206), + [aux_sym_default_token1] = ACTIONS(2206), + [aux_sym_alias_declaration_token1] = ACTIONS(2206), + [aux_sym_attribute_declaration_token1] = ACTIONS(2206), + [aux_sym_component_declaration_token1] = ACTIONS(2206), + [aux_sym_group_template_declaration_token1] = ACTIONS(2206), + [aux_sym_entity_class_token3] = ACTIONS(2206), + [aux_sym_entity_class_token4] = ACTIONS(2206), + [aux_sym_binding_indication_token1] = ACTIONS(2206), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(2206), + [aux_sym_disconnection_specification_token1] = ACTIONS(2206), + [anon_sym_LT_LT] = ACTIONS(2206), + [aux_sym_null_token1] = ACTIONS(2206), + [aux_sym_wait_statement_token1] = ACTIONS(2206), + [aux_sym_assertion_statement_token1] = ACTIONS(2206), + [aux_sym_assertion_statement_token2] = ACTIONS(2206), + [aux_sym__report_token1] = ACTIONS(2206), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(2206), + [aux_sym_if_statement_token1] = ACTIONS(2206), + [aux_sym_case_statement_token1] = ACTIONS(2206), + [aux_sym_loop_statement_token1] = ACTIONS(2206), + [aux_sym_while_loop_token1] = ACTIONS(2206), + [aux_sym_next_statement_token1] = ACTIONS(2206), + [aux_sym_exit_statement_token1] = ACTIONS(2206), + [aux_sym_block_statement_token1] = ACTIONS(2206), + [aux_sym_process_statement_token1] = ACTIONS(2206), + [aux_sym_library_clause_token1] = ACTIONS(2206), + [aux_sym_context_declaration_token1] = ACTIONS(2206), + [sym_extended_identifier] = ACTIONS(2206), + [aux_sym_character_literal_token1] = ACTIONS(2206), + [aux_sym_character_literal_token2] = ACTIONS(2208), + [aux_sym_string_literal_token1] = ACTIONS(2206), + [aux_sym_string_literal_token2] = ACTIONS(2208), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(2208), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(2206), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(2208), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(2206), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(2206), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(2206), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(2206), + [aux_sym_PSL_VProp_token1] = ACTIONS(2206), + [aux_sym_PSL_VMode_token1] = ACTIONS(2206), + }, + [972] = { + [sym_signature] = STATE(5304), + [sym__attribute_designator] = STATE(586), + [sym__predefined_attribute_designator] = STATE(589), + [sym__predefined_attribute_designator_with_expression] = STATE(611), + [sym_basic_identifier] = ACTIONS(2189), + [aux_sym_entity_declaration_token2] = ACTIONS(1994), + [anon_sym_LPAREN] = ACTIONS(2191), + [anon_sym_RPAREN] = ACTIONS(1994), + [anon_sym_COMMA] = ACTIONS(1994), + [anon_sym_LBRACK] = ACTIONS(1999), + [anon_sym_RBRACK] = ACTIONS(1994), + [aux_sym_range_constraint_token1] = ACTIONS(1994), + [aux_sym_ascending_range_token1] = ACTIONS(1994), + [aux_sym_descending_range_token1] = ACTIONS(1994), + [anon_sym_EQ_GT] = ACTIONS(1994), + [anon_sym_DOT] = ACTIONS(2109), + [anon_sym_SQUOTE] = ACTIONS(2001), + [anon_sym_LT_LT] = ACTIONS(2196), + [anon_sym_GT_GT] = ACTIONS(1994), + [aux_sym_inertial_expression_token1] = ACTIONS(1994), + [aux_sym_reduction_token1] = ACTIONS(1994), + [aux_sym_reduction_token2] = ACTIONS(1994), + [aux_sym_reduction_token3] = ACTIONS(1994), + [aux_sym_reduction_token4] = ACTIONS(1994), + [aux_sym_reduction_token5] = ACTIONS(1994), + [aux_sym_reduction_token6] = ACTIONS(1994), + [anon_sym_PLUS] = ACTIONS(1994), + [anon_sym_DASH] = ACTIONS(1992), + [anon_sym_LT] = ACTIONS(1992), + [anon_sym_GT] = ACTIONS(1992), + [anon_sym_EQ2] = ACTIONS(1992), + [anon_sym_LT_EQ] = ACTIONS(1994), + [anon_sym_GT_EQ] = ACTIONS(1994), + [anon_sym_SLASH_EQ] = ACTIONS(1994), + [anon_sym_QMARK_LT] = ACTIONS(1992), + [anon_sym_QMARK_GT] = ACTIONS(1992), + [anon_sym_QMARK_EQ] = ACTIONS(1994), + [anon_sym_QMARK_LT_EQ] = ACTIONS(1994), + [anon_sym_QMARK_GT_EQ] = ACTIONS(1994), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(1994), + [aux_sym_shift_expression_token1] = ACTIONS(1994), + [aux_sym_shift_expression_token2] = ACTIONS(1994), + [aux_sym_shift_expression_token3] = ACTIONS(1994), + [aux_sym_shift_expression_token4] = ACTIONS(1994), + [aux_sym_shift_expression_token5] = ACTIONS(1994), + [aux_sym_shift_expression_token6] = ACTIONS(1994), + [anon_sym_AMP] = ACTIONS(1994), + [anon_sym_STAR] = ACTIONS(1992), + [anon_sym_SLASH] = ACTIONS(1992), + [aux_sym_term_token1] = ACTIONS(1994), + [aux_sym_term_token2] = ACTIONS(1994), + [anon_sym_STAR_STAR] = ACTIONS(1994), + [anon_sym_PIPE] = ACTIONS(1994), + [aux_sym__after_token1] = ACTIONS(1994), + [aux_sym__when_clause_token1] = ACTIONS(1994), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(1994), + [aux_sym_if_token1] = ACTIONS(1994), + [aux_sym_loop_statement_token1] = ACTIONS(1994), + [aux_sym_for_generate_statement_token1] = ACTIONS(1994), + [sym_extended_identifier] = ACTIONS(2196), + [aux_sym_string_literal_token1] = ACTIONS(2196), + [aux_sym_string_literal_token2] = ACTIONS(2189), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(1994), + }, + [973] = { + [ts_builtin_sym_end] = ACTIONS(2210), + [sym_basic_identifier] = ACTIONS(2212), + [aux_sym_entity_declaration_token1] = ACTIONS(2210), + [aux_sym_entity_declaration_token4] = ACTIONS(2210), + [aux_sym_architecture_body_token1] = ACTIONS(2210), + [aux_sym_configuration_declaration_token1] = ACTIONS(2210), + [aux_sym_block_configuration_token1] = ACTIONS(2210), + [anon_sym_LPAREN] = ACTIONS(2210), + [aux_sym__procedure_specification_token1] = ACTIONS(2210), + [aux_sym__procedure_specification_token2] = ACTIONS(2210), + [aux_sym__procedure_specification_token3] = ACTIONS(2210), + [aux_sym__function_specification_token1] = ACTIONS(2210), + [aux_sym_return_token1] = ACTIONS(2210), + [aux_sym_package_declaration_token1] = ACTIONS(2210), + [aux_sym_open_token1] = ACTIONS(2210), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(2210), + [aux_sym_file_type_definition_token1] = ACTIONS(2210), + [aux_sym_subtype_declaration_token1] = ACTIONS(2210), + [aux_sym_constant_declaration_token1] = ACTIONS(2210), + [aux_sym_signal_declaration_token1] = ACTIONS(2210), + [aux_sym_variable_declaration_token1] = ACTIONS(2210), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(2210), + [aux_sym_default_token1] = ACTIONS(2210), + [aux_sym_alias_declaration_token1] = ACTIONS(2210), + [aux_sym_attribute_declaration_token1] = ACTIONS(2210), + [aux_sym_component_declaration_token1] = ACTIONS(2210), + [aux_sym_group_template_declaration_token1] = ACTIONS(2210), + [aux_sym_entity_class_token3] = ACTIONS(2210), + [aux_sym_entity_class_token4] = ACTIONS(2210), + [aux_sym_binding_indication_token1] = ACTIONS(2210), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(2210), + [aux_sym_disconnection_specification_token1] = ACTIONS(2210), + [anon_sym_LT_LT] = ACTIONS(2210), + [aux_sym_null_token1] = ACTIONS(2210), + [aux_sym_wait_statement_token1] = ACTIONS(2210), + [aux_sym_assertion_statement_token1] = ACTIONS(2210), + [aux_sym_assertion_statement_token2] = ACTIONS(2210), + [aux_sym__report_token1] = ACTIONS(2210), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(2210), + [aux_sym_if_statement_token1] = ACTIONS(2210), + [aux_sym_case_statement_token1] = ACTIONS(2210), + [aux_sym_loop_statement_token1] = ACTIONS(2210), + [aux_sym_while_loop_token1] = ACTIONS(2210), + [aux_sym_next_statement_token1] = ACTIONS(2210), + [aux_sym_exit_statement_token1] = ACTIONS(2210), + [aux_sym_block_statement_token1] = ACTIONS(2210), + [aux_sym_process_statement_token1] = ACTIONS(2210), + [aux_sym_library_clause_token1] = ACTIONS(2210), + [aux_sym_context_declaration_token1] = ACTIONS(2210), + [sym_extended_identifier] = ACTIONS(2210), + [aux_sym_character_literal_token1] = ACTIONS(2210), + [aux_sym_character_literal_token2] = ACTIONS(2212), + [aux_sym_string_literal_token1] = ACTIONS(2210), + [aux_sym_string_literal_token2] = ACTIONS(2212), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(2212), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(2210), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(2212), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(2210), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(2210), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(2210), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(2210), + [aux_sym_PSL_VProp_token1] = ACTIONS(2210), + [aux_sym_PSL_VMode_token1] = ACTIONS(2210), + }, + [974] = { + [sym_basic_identifier] = ACTIONS(2214), + [aux_sym_entity_declaration_token2] = ACTIONS(2216), + [anon_sym_SEMI] = ACTIONS(2216), + [aux_sym_block_configuration_token1] = ACTIONS(2216), + [anon_sym_LPAREN] = ACTIONS(2218), + [anon_sym_RPAREN] = ACTIONS(2216), + [anon_sym_COMMA] = ACTIONS(2216), + [anon_sym_LBRACK] = ACTIONS(2216), + [anon_sym_RBRACK] = ACTIONS(2216), + [aux_sym_ascending_range_token1] = ACTIONS(2216), + [aux_sym_descending_range_token1] = ACTIONS(2216), + [aux_sym_physical_type_definition_token1] = ACTIONS(2216), + [aux_sym_open_token1] = ACTIONS(2216), + [aux_sym_signal_kind_token1] = ACTIONS(2216), + [aux_sym_signal_kind_token2] = ACTIONS(2216), + [anon_sym_EQ_GT] = ACTIONS(2216), + [anon_sym_GT_GT] = ACTIONS(2216), + [anon_sym_COLON_EQ] = ACTIONS(2216), + [aux_sym_inertial_expression_token1] = ACTIONS(2216), + [aux_sym_reduction_token1] = ACTIONS(2216), + [aux_sym_reduction_token2] = ACTIONS(2216), + [aux_sym_reduction_token3] = ACTIONS(2216), + [aux_sym_reduction_token4] = ACTIONS(2216), + [aux_sym_reduction_token5] = ACTIONS(2216), + [aux_sym_reduction_token6] = ACTIONS(2216), + [anon_sym_PLUS] = ACTIONS(2216), + [anon_sym_DASH] = ACTIONS(2214), + [anon_sym_LT] = ACTIONS(2214), + [anon_sym_GT] = ACTIONS(2214), + [anon_sym_EQ2] = ACTIONS(2214), + [anon_sym_LT_EQ] = ACTIONS(2216), + [anon_sym_GT_EQ] = ACTIONS(2216), + [anon_sym_SLASH_EQ] = ACTIONS(2216), + [anon_sym_QMARK_LT] = ACTIONS(2214), + [anon_sym_QMARK_GT] = ACTIONS(2214), + [anon_sym_QMARK_EQ] = ACTIONS(2216), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2216), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2216), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2216), + [aux_sym_shift_expression_token1] = ACTIONS(2216), + [aux_sym_shift_expression_token2] = ACTIONS(2216), + [aux_sym_shift_expression_token3] = ACTIONS(2216), + [aux_sym_shift_expression_token4] = ACTIONS(2216), + [aux_sym_shift_expression_token5] = ACTIONS(2216), + [aux_sym_shift_expression_token6] = ACTIONS(2216), + [anon_sym_AMP] = ACTIONS(2216), + [anon_sym_STAR] = ACTIONS(2214), + [anon_sym_SLASH] = ACTIONS(2214), + [aux_sym_term_token1] = ACTIONS(2216), + [aux_sym_term_token2] = ACTIONS(2216), + [anon_sym_STAR_STAR] = ACTIONS(2216), + [anon_sym_PIPE] = ACTIONS(2216), + [aux_sym__report_token1] = ACTIONS(2216), + [aux_sym__severity_token1] = ACTIONS(2216), + [aux_sym__after_token1] = ACTIONS(2216), + [aux_sym__when_clause_token1] = ACTIONS(2216), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2216), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2216), + [aux_sym_if_token1] = ACTIONS(2216), + [aux_sym_loop_statement_token1] = ACTIONS(2216), + [aux_sym_for_generate_statement_token1] = ACTIONS(2216), + [sym_extended_identifier] = ACTIONS(2216), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2216), + }, + [975] = { + [sym_basic_identifier] = ACTIONS(2220), + [aux_sym_entity_declaration_token2] = ACTIONS(2222), + [anon_sym_SEMI] = ACTIONS(2222), + [aux_sym_block_configuration_token1] = ACTIONS(2222), + [anon_sym_LPAREN] = ACTIONS(2222), + [anon_sym_RPAREN] = ACTIONS(2222), + [anon_sym_COMMA] = ACTIONS(2222), + [anon_sym_LBRACK] = ACTIONS(2222), + [anon_sym_RBRACK] = ACTIONS(2222), + [aux_sym_ascending_range_token1] = ACTIONS(2222), + [aux_sym_descending_range_token1] = ACTIONS(2222), + [aux_sym_physical_type_definition_token1] = ACTIONS(2222), + [aux_sym_open_token1] = ACTIONS(2222), + [aux_sym_signal_kind_token1] = ACTIONS(2222), + [aux_sym_signal_kind_token2] = ACTIONS(2222), + [anon_sym_EQ_GT] = ACTIONS(2222), + [anon_sym_GT_GT] = ACTIONS(2222), + [anon_sym_COLON_EQ] = ACTIONS(2222), + [aux_sym_inertial_expression_token1] = ACTIONS(2222), + [aux_sym_reduction_token1] = ACTIONS(2222), + [aux_sym_reduction_token2] = ACTIONS(2222), + [aux_sym_reduction_token3] = ACTIONS(2222), + [aux_sym_reduction_token4] = ACTIONS(2222), + [aux_sym_reduction_token5] = ACTIONS(2222), + [aux_sym_reduction_token6] = ACTIONS(2222), + [anon_sym_PLUS] = ACTIONS(2222), + [anon_sym_DASH] = ACTIONS(2220), + [anon_sym_LT] = ACTIONS(2220), + [anon_sym_GT] = ACTIONS(2220), + [anon_sym_EQ2] = ACTIONS(2220), + [anon_sym_LT_EQ] = ACTIONS(2222), + [anon_sym_GT_EQ] = ACTIONS(2222), + [anon_sym_SLASH_EQ] = ACTIONS(2222), + [anon_sym_QMARK_LT] = ACTIONS(2220), + [anon_sym_QMARK_GT] = ACTIONS(2220), + [anon_sym_QMARK_EQ] = ACTIONS(2222), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2222), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2222), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2222), + [aux_sym_shift_expression_token1] = ACTIONS(2222), + [aux_sym_shift_expression_token2] = ACTIONS(2222), + [aux_sym_shift_expression_token3] = ACTIONS(2222), + [aux_sym_shift_expression_token4] = ACTIONS(2222), + [aux_sym_shift_expression_token5] = ACTIONS(2222), + [aux_sym_shift_expression_token6] = ACTIONS(2222), + [anon_sym_AMP] = ACTIONS(2222), + [anon_sym_STAR] = ACTIONS(2220), + [anon_sym_SLASH] = ACTIONS(2220), + [aux_sym_term_token1] = ACTIONS(2222), + [aux_sym_term_token2] = ACTIONS(2222), + [anon_sym_STAR_STAR] = ACTIONS(2222), + [anon_sym_PIPE] = ACTIONS(2222), + [aux_sym__report_token1] = ACTIONS(2222), + [aux_sym__severity_token1] = ACTIONS(2222), + [aux_sym__after_token1] = ACTIONS(2222), + [aux_sym__when_clause_token1] = ACTIONS(2222), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2222), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2222), + [aux_sym_if_token1] = ACTIONS(2222), + [aux_sym_loop_statement_token1] = ACTIONS(2222), + [aux_sym_for_generate_statement_token1] = ACTIONS(2222), + [sym_extended_identifier] = ACTIONS(2222), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2222), + }, + [976] = { + [ts_builtin_sym_end] = ACTIONS(2224), + [sym_basic_identifier] = ACTIONS(2226), + [aux_sym_entity_declaration_token1] = ACTIONS(2224), + [aux_sym_entity_declaration_token4] = ACTIONS(2224), + [aux_sym_architecture_body_token1] = ACTIONS(2224), + [aux_sym_configuration_declaration_token1] = ACTIONS(2224), + [aux_sym_block_configuration_token1] = ACTIONS(2224), + [anon_sym_LPAREN] = ACTIONS(2224), + [aux_sym__procedure_specification_token1] = ACTIONS(2224), + [aux_sym__procedure_specification_token2] = ACTIONS(2224), + [aux_sym__procedure_specification_token3] = ACTIONS(2224), + [aux_sym__function_specification_token1] = ACTIONS(2224), + [aux_sym_return_token1] = ACTIONS(2224), + [aux_sym_package_declaration_token1] = ACTIONS(2224), + [aux_sym_open_token1] = ACTIONS(2224), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(2224), + [aux_sym_file_type_definition_token1] = ACTIONS(2224), + [aux_sym_subtype_declaration_token1] = ACTIONS(2224), + [aux_sym_constant_declaration_token1] = ACTIONS(2224), + [aux_sym_signal_declaration_token1] = ACTIONS(2224), + [aux_sym_variable_declaration_token1] = ACTIONS(2224), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(2224), + [aux_sym_default_token1] = ACTIONS(2224), + [aux_sym_alias_declaration_token1] = ACTIONS(2224), + [aux_sym_attribute_declaration_token1] = ACTIONS(2224), + [aux_sym_component_declaration_token1] = ACTIONS(2224), + [aux_sym_group_template_declaration_token1] = ACTIONS(2224), + [aux_sym_entity_class_token3] = ACTIONS(2224), + [aux_sym_entity_class_token4] = ACTIONS(2224), + [aux_sym_binding_indication_token1] = ACTIONS(2224), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(2224), + [aux_sym_disconnection_specification_token1] = ACTIONS(2224), + [anon_sym_LT_LT] = ACTIONS(2224), + [aux_sym_null_token1] = ACTIONS(2224), + [aux_sym_wait_statement_token1] = ACTIONS(2224), + [aux_sym_assertion_statement_token1] = ACTIONS(2224), + [aux_sym_assertion_statement_token2] = ACTIONS(2224), + [aux_sym__report_token1] = ACTIONS(2224), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(2224), + [aux_sym_if_statement_token1] = ACTIONS(2224), + [aux_sym_case_statement_token1] = ACTIONS(2224), + [aux_sym_loop_statement_token1] = ACTIONS(2224), + [aux_sym_while_loop_token1] = ACTIONS(2224), + [aux_sym_next_statement_token1] = ACTIONS(2224), + [aux_sym_exit_statement_token1] = ACTIONS(2224), + [aux_sym_block_statement_token1] = ACTIONS(2224), + [aux_sym_process_statement_token1] = ACTIONS(2224), + [aux_sym_library_clause_token1] = ACTIONS(2224), + [aux_sym_context_declaration_token1] = ACTIONS(2224), + [sym_extended_identifier] = ACTIONS(2224), + [aux_sym_character_literal_token1] = ACTIONS(2224), + [aux_sym_character_literal_token2] = ACTIONS(2226), + [aux_sym_string_literal_token1] = ACTIONS(2224), + [aux_sym_string_literal_token2] = ACTIONS(2226), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(2226), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(2224), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(2226), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(2224), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(2224), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(2224), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(2224), + [aux_sym_PSL_VProp_token1] = ACTIONS(2224), + [aux_sym_PSL_VMode_token1] = ACTIONS(2224), + }, + [977] = { + [ts_builtin_sym_end] = ACTIONS(2228), + [sym_basic_identifier] = ACTIONS(2230), + [aux_sym_entity_declaration_token1] = ACTIONS(2228), + [aux_sym_entity_declaration_token4] = ACTIONS(2228), + [aux_sym_architecture_body_token1] = ACTIONS(2228), + [aux_sym_configuration_declaration_token1] = ACTIONS(2228), + [aux_sym_block_configuration_token1] = ACTIONS(2228), + [anon_sym_LPAREN] = ACTIONS(2228), + [aux_sym__procedure_specification_token1] = ACTIONS(2228), + [aux_sym__procedure_specification_token2] = ACTIONS(2228), + [aux_sym__procedure_specification_token3] = ACTIONS(2228), + [aux_sym__function_specification_token1] = ACTIONS(2228), + [aux_sym_return_token1] = ACTIONS(2228), + [aux_sym_package_declaration_token1] = ACTIONS(2228), + [aux_sym_open_token1] = ACTIONS(2228), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(2228), + [aux_sym_file_type_definition_token1] = ACTIONS(2228), + [aux_sym_subtype_declaration_token1] = ACTIONS(2228), + [aux_sym_constant_declaration_token1] = ACTIONS(2228), + [aux_sym_signal_declaration_token1] = ACTIONS(2228), + [aux_sym_variable_declaration_token1] = ACTIONS(2228), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(2228), + [aux_sym_default_token1] = ACTIONS(2228), + [aux_sym_alias_declaration_token1] = ACTIONS(2228), + [aux_sym_attribute_declaration_token1] = ACTIONS(2228), + [aux_sym_component_declaration_token1] = ACTIONS(2228), + [aux_sym_group_template_declaration_token1] = ACTIONS(2228), + [aux_sym_entity_class_token3] = ACTIONS(2228), + [aux_sym_entity_class_token4] = ACTIONS(2228), + [aux_sym_binding_indication_token1] = ACTIONS(2228), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(2228), + [aux_sym_disconnection_specification_token1] = ACTIONS(2228), + [anon_sym_LT_LT] = ACTIONS(2228), + [aux_sym_null_token1] = ACTIONS(2228), + [aux_sym_wait_statement_token1] = ACTIONS(2228), + [aux_sym_assertion_statement_token1] = ACTIONS(2228), + [aux_sym_assertion_statement_token2] = ACTIONS(2228), + [aux_sym__report_token1] = ACTIONS(2228), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(2228), + [aux_sym_if_statement_token1] = ACTIONS(2228), + [aux_sym_case_statement_token1] = ACTIONS(2228), + [aux_sym_loop_statement_token1] = ACTIONS(2228), + [aux_sym_while_loop_token1] = ACTIONS(2228), + [aux_sym_next_statement_token1] = ACTIONS(2228), + [aux_sym_exit_statement_token1] = ACTIONS(2228), + [aux_sym_block_statement_token1] = ACTIONS(2228), + [aux_sym_process_statement_token1] = ACTIONS(2228), + [aux_sym_library_clause_token1] = ACTIONS(2228), + [aux_sym_context_declaration_token1] = ACTIONS(2228), + [sym_extended_identifier] = ACTIONS(2228), + [aux_sym_character_literal_token1] = ACTIONS(2228), + [aux_sym_character_literal_token2] = ACTIONS(2230), + [aux_sym_string_literal_token1] = ACTIONS(2228), + [aux_sym_string_literal_token2] = ACTIONS(2230), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(2230), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(2228), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(2230), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(2228), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(2228), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(2228), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(2228), + [aux_sym_PSL_VProp_token1] = ACTIONS(2228), + [aux_sym_PSL_VMode_token1] = ACTIONS(2228), + }, + [978] = { + [ts_builtin_sym_end] = ACTIONS(2232), + [sym_basic_identifier] = ACTIONS(2234), + [aux_sym_entity_declaration_token1] = ACTIONS(2232), + [aux_sym_entity_declaration_token4] = ACTIONS(2232), + [aux_sym_architecture_body_token1] = ACTIONS(2232), + [aux_sym_configuration_declaration_token1] = ACTIONS(2232), + [aux_sym_block_configuration_token1] = ACTIONS(2232), + [anon_sym_LPAREN] = ACTIONS(2232), + [aux_sym__procedure_specification_token1] = ACTIONS(2232), + [aux_sym__procedure_specification_token2] = ACTIONS(2232), + [aux_sym__procedure_specification_token3] = ACTIONS(2232), + [aux_sym__function_specification_token1] = ACTIONS(2232), + [aux_sym_return_token1] = ACTIONS(2232), + [aux_sym_package_declaration_token1] = ACTIONS(2232), + [aux_sym_open_token1] = ACTIONS(2232), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(2232), + [aux_sym_file_type_definition_token1] = ACTIONS(2232), + [aux_sym_subtype_declaration_token1] = ACTIONS(2232), + [aux_sym_constant_declaration_token1] = ACTIONS(2232), + [aux_sym_signal_declaration_token1] = ACTIONS(2232), + [aux_sym_variable_declaration_token1] = ACTIONS(2232), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(2232), + [aux_sym_default_token1] = ACTIONS(2232), + [aux_sym_alias_declaration_token1] = ACTIONS(2232), + [aux_sym_attribute_declaration_token1] = ACTIONS(2232), + [aux_sym_component_declaration_token1] = ACTIONS(2232), + [aux_sym_group_template_declaration_token1] = ACTIONS(2232), + [aux_sym_entity_class_token3] = ACTIONS(2232), + [aux_sym_entity_class_token4] = ACTIONS(2232), + [aux_sym_binding_indication_token1] = ACTIONS(2232), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(2232), + [aux_sym_disconnection_specification_token1] = ACTIONS(2232), + [anon_sym_LT_LT] = ACTIONS(2232), + [aux_sym_null_token1] = ACTIONS(2232), + [aux_sym_wait_statement_token1] = ACTIONS(2232), + [aux_sym_assertion_statement_token1] = ACTIONS(2232), + [aux_sym_assertion_statement_token2] = ACTIONS(2232), + [aux_sym__report_token1] = ACTIONS(2232), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(2232), + [aux_sym_if_statement_token1] = ACTIONS(2232), + [aux_sym_case_statement_token1] = ACTIONS(2232), + [aux_sym_loop_statement_token1] = ACTIONS(2232), + [aux_sym_while_loop_token1] = ACTIONS(2232), + [aux_sym_next_statement_token1] = ACTIONS(2232), + [aux_sym_exit_statement_token1] = ACTIONS(2232), + [aux_sym_block_statement_token1] = ACTIONS(2232), + [aux_sym_process_statement_token1] = ACTIONS(2232), + [aux_sym_library_clause_token1] = ACTIONS(2232), + [aux_sym_context_declaration_token1] = ACTIONS(2232), + [sym_extended_identifier] = ACTIONS(2232), + [aux_sym_character_literal_token1] = ACTIONS(2232), + [aux_sym_character_literal_token2] = ACTIONS(2234), + [aux_sym_string_literal_token1] = ACTIONS(2232), + [aux_sym_string_literal_token2] = ACTIONS(2234), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(2234), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(2232), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(2234), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(2232), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(2232), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(2232), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(2232), + [aux_sym_PSL_VProp_token1] = ACTIONS(2232), + [aux_sym_PSL_VMode_token1] = ACTIONS(2232), + }, + [979] = { + [sym_basic_identifier] = ACTIONS(2236), + [aux_sym_entity_declaration_token2] = ACTIONS(2238), + [anon_sym_LPAREN] = ACTIONS(2238), + [anon_sym_RPAREN] = ACTIONS(2238), + [anon_sym_COMMA] = ACTIONS(2238), + [anon_sym_LBRACK] = ACTIONS(2238), + [anon_sym_RBRACK] = ACTIONS(2238), + [aux_sym_range_constraint_token1] = ACTIONS(2238), + [aux_sym_ascending_range_token1] = ACTIONS(2238), + [aux_sym_descending_range_token1] = ACTIONS(2238), + [anon_sym_EQ_GT] = ACTIONS(2238), + [anon_sym_DOT] = ACTIONS(2238), + [anon_sym_SQUOTE] = ACTIONS(2238), + [anon_sym_LT_LT] = ACTIONS(2238), + [anon_sym_GT_GT] = ACTIONS(2238), + [aux_sym_inertial_expression_token1] = ACTIONS(2238), + [aux_sym_reduction_token1] = ACTIONS(2238), + [aux_sym_reduction_token2] = ACTIONS(2238), + [aux_sym_reduction_token3] = ACTIONS(2238), + [aux_sym_reduction_token4] = ACTIONS(2238), + [aux_sym_reduction_token5] = ACTIONS(2238), + [aux_sym_reduction_token6] = ACTIONS(2238), + [anon_sym_PLUS] = ACTIONS(2238), + [anon_sym_DASH] = ACTIONS(2236), + [anon_sym_LT] = ACTIONS(2236), + [anon_sym_GT] = ACTIONS(2236), + [anon_sym_EQ2] = ACTIONS(2236), + [anon_sym_LT_EQ] = ACTIONS(2238), + [anon_sym_GT_EQ] = ACTIONS(2238), + [anon_sym_SLASH_EQ] = ACTIONS(2238), + [anon_sym_QMARK_LT] = ACTIONS(2236), + [anon_sym_QMARK_GT] = ACTIONS(2236), + [anon_sym_QMARK_EQ] = ACTIONS(2238), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2238), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2238), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2238), + [aux_sym_shift_expression_token1] = ACTIONS(2238), + [aux_sym_shift_expression_token2] = ACTIONS(2238), + [aux_sym_shift_expression_token3] = ACTIONS(2238), + [aux_sym_shift_expression_token4] = ACTIONS(2238), + [aux_sym_shift_expression_token5] = ACTIONS(2238), + [aux_sym_shift_expression_token6] = ACTIONS(2238), + [anon_sym_AMP] = ACTIONS(2236), + [anon_sym_STAR] = ACTIONS(2236), + [anon_sym_SLASH] = ACTIONS(2236), + [aux_sym_term_token1] = ACTIONS(2238), + [aux_sym_term_token2] = ACTIONS(2238), + [anon_sym_STAR_STAR] = ACTIONS(2238), + [anon_sym_PIPE] = ACTIONS(2238), + [aux_sym__after_token1] = ACTIONS(2238), + [aux_sym__when_clause_token1] = ACTIONS(2238), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2238), + [aux_sym_if_token1] = ACTIONS(2238), + [aux_sym_loop_statement_token1] = ACTIONS(2238), + [aux_sym_for_generate_statement_token1] = ACTIONS(2238), + [sym_extended_identifier] = ACTIONS(2238), + [aux_sym_string_literal_token1] = ACTIONS(2238), + [aux_sym_string_literal_token2] = ACTIONS(2236), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_COLON2] = ACTIONS(2238), + [anon_sym_SEMI2] = ACTIONS(2238), + [anon_sym_AMP_AMP] = ACTIONS(2238), + [aux_sym_PSL_Compound_SERE_Within_token1] = ACTIONS(2238), + [anon_sym_RBRACE] = ACTIONS(2238), + }, + [980] = { + [sym_basic_identifier] = ACTIONS(2240), + [aux_sym_entity_declaration_token2] = ACTIONS(2242), + [anon_sym_LPAREN] = ACTIONS(2242), + [anon_sym_RPAREN] = ACTIONS(2242), + [anon_sym_COMMA] = ACTIONS(2242), + [anon_sym_LBRACK] = ACTIONS(2242), + [anon_sym_RBRACK] = ACTIONS(2242), + [aux_sym_range_constraint_token1] = ACTIONS(2242), + [aux_sym_ascending_range_token1] = ACTIONS(2242), + [aux_sym_descending_range_token1] = ACTIONS(2242), + [anon_sym_EQ_GT] = ACTIONS(2242), + [anon_sym_DOT] = ACTIONS(2242), + [anon_sym_SQUOTE] = ACTIONS(2242), + [anon_sym_LT_LT] = ACTIONS(2242), + [anon_sym_GT_GT] = ACTIONS(2242), + [aux_sym_inertial_expression_token1] = ACTIONS(2242), + [aux_sym_reduction_token1] = ACTIONS(2242), + [aux_sym_reduction_token2] = ACTIONS(2242), + [aux_sym_reduction_token3] = ACTIONS(2242), + [aux_sym_reduction_token4] = ACTIONS(2242), + [aux_sym_reduction_token5] = ACTIONS(2242), + [aux_sym_reduction_token6] = ACTIONS(2242), + [anon_sym_PLUS] = ACTIONS(2242), + [anon_sym_DASH] = ACTIONS(2240), + [anon_sym_LT] = ACTIONS(2240), + [anon_sym_GT] = ACTIONS(2240), + [anon_sym_EQ2] = ACTIONS(2240), + [anon_sym_LT_EQ] = ACTIONS(2242), + [anon_sym_GT_EQ] = ACTIONS(2242), + [anon_sym_SLASH_EQ] = ACTIONS(2242), + [anon_sym_QMARK_LT] = ACTIONS(2240), + [anon_sym_QMARK_GT] = ACTIONS(2240), + [anon_sym_QMARK_EQ] = ACTIONS(2242), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2242), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2242), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2242), + [aux_sym_shift_expression_token1] = ACTIONS(2242), + [aux_sym_shift_expression_token2] = ACTIONS(2242), + [aux_sym_shift_expression_token3] = ACTIONS(2242), + [aux_sym_shift_expression_token4] = ACTIONS(2242), + [aux_sym_shift_expression_token5] = ACTIONS(2242), + [aux_sym_shift_expression_token6] = ACTIONS(2242), + [anon_sym_AMP] = ACTIONS(2240), + [anon_sym_STAR] = ACTIONS(2240), + [anon_sym_SLASH] = ACTIONS(2240), + [aux_sym_term_token1] = ACTIONS(2242), + [aux_sym_term_token2] = ACTIONS(2242), + [anon_sym_STAR_STAR] = ACTIONS(2242), + [anon_sym_PIPE] = ACTIONS(2242), + [aux_sym__after_token1] = ACTIONS(2242), + [aux_sym__when_clause_token1] = ACTIONS(2242), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2242), + [aux_sym_if_token1] = ACTIONS(2242), + [aux_sym_loop_statement_token1] = ACTIONS(2242), + [aux_sym_for_generate_statement_token1] = ACTIONS(2242), + [sym_extended_identifier] = ACTIONS(2242), + [aux_sym_string_literal_token1] = ACTIONS(2242), + [aux_sym_string_literal_token2] = ACTIONS(2240), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_COLON2] = ACTIONS(2242), + [anon_sym_SEMI2] = ACTIONS(2242), + [anon_sym_AMP_AMP] = ACTIONS(2242), + [aux_sym_PSL_Compound_SERE_Within_token1] = ACTIONS(2242), + [anon_sym_RBRACE] = ACTIONS(2242), + }, + [981] = { + [sym_basic_identifier] = ACTIONS(2029), + [aux_sym_entity_declaration_token2] = ACTIONS(2031), + [anon_sym_SEMI] = ACTIONS(2031), + [aux_sym_block_configuration_token1] = ACTIONS(2031), + [anon_sym_LPAREN] = ACTIONS(2242), + [anon_sym_RPAREN] = ACTIONS(2031), + [anon_sym_COMMA] = ACTIONS(2031), + [anon_sym_LBRACK] = ACTIONS(2031), + [anon_sym_RBRACK] = ACTIONS(2031), + [aux_sym_ascending_range_token1] = ACTIONS(2031), + [aux_sym_descending_range_token1] = ACTIONS(2031), + [aux_sym_physical_type_definition_token1] = ACTIONS(2031), + [aux_sym_open_token1] = ACTIONS(2031), + [aux_sym_signal_kind_token1] = ACTIONS(2031), + [aux_sym_signal_kind_token2] = ACTIONS(2031), + [anon_sym_EQ_GT] = ACTIONS(2031), + [anon_sym_GT_GT] = ACTIONS(2031), + [anon_sym_COLON_EQ] = ACTIONS(2031), + [aux_sym_inertial_expression_token1] = ACTIONS(2031), + [aux_sym_reduction_token1] = ACTIONS(2031), + [aux_sym_reduction_token2] = ACTIONS(2031), + [aux_sym_reduction_token3] = ACTIONS(2031), + [aux_sym_reduction_token4] = ACTIONS(2031), + [aux_sym_reduction_token5] = ACTIONS(2031), + [aux_sym_reduction_token6] = ACTIONS(2031), + [anon_sym_PLUS] = ACTIONS(2031), + [anon_sym_DASH] = ACTIONS(2029), + [anon_sym_LT] = ACTIONS(2029), + [anon_sym_GT] = ACTIONS(2029), + [anon_sym_EQ2] = ACTIONS(2029), + [anon_sym_LT_EQ] = ACTIONS(2031), + [anon_sym_GT_EQ] = ACTIONS(2031), + [anon_sym_SLASH_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT] = ACTIONS(2029), + [anon_sym_QMARK_GT] = ACTIONS(2029), + [anon_sym_QMARK_EQ] = ACTIONS(2031), + [anon_sym_QMARK_LT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_GT_EQ] = ACTIONS(2031), + [anon_sym_QMARK_SLASH_EQ] = ACTIONS(2031), + [aux_sym_shift_expression_token1] = ACTIONS(2031), + [aux_sym_shift_expression_token2] = ACTIONS(2031), + [aux_sym_shift_expression_token3] = ACTIONS(2031), + [aux_sym_shift_expression_token4] = ACTIONS(2031), + [aux_sym_shift_expression_token5] = ACTIONS(2031), + [aux_sym_shift_expression_token6] = ACTIONS(2031), + [anon_sym_AMP] = ACTIONS(2031), + [anon_sym_STAR] = ACTIONS(2029), + [anon_sym_SLASH] = ACTIONS(2029), + [aux_sym_term_token1] = ACTIONS(2031), + [aux_sym_term_token2] = ACTIONS(2031), + [anon_sym_STAR_STAR] = ACTIONS(2031), + [anon_sym_PIPE] = ACTIONS(2031), + [aux_sym__report_token1] = ACTIONS(2031), + [aux_sym__severity_token1] = ACTIONS(2031), + [aux_sym__after_token1] = ACTIONS(2031), + [aux_sym__when_clause_token1] = ACTIONS(2031), + [aux_sym_alternative_conditional_waveforms_token1] = ACTIONS(2031), + [aux_sym_selected_waveform_assignment_token2] = ACTIONS(2031), + [aux_sym_if_token1] = ACTIONS(2031), + [aux_sym_loop_statement_token1] = ACTIONS(2031), + [aux_sym_for_generate_statement_token1] = ACTIONS(2031), + [sym_extended_identifier] = ACTIONS(2031), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [anon_sym_RBRACE] = ACTIONS(2031), + }, + [982] = { + [ts_builtin_sym_end] = ACTIONS(2244), + [sym_basic_identifier] = ACTIONS(2246), + [aux_sym_entity_declaration_token1] = ACTIONS(2244), + [aux_sym_entity_declaration_token4] = ACTIONS(2244), + [aux_sym_architecture_body_token1] = ACTIONS(2244), + [aux_sym_configuration_declaration_token1] = ACTIONS(2244), + [aux_sym_block_configuration_token1] = ACTIONS(2244), + [anon_sym_LPAREN] = ACTIONS(2244), + [aux_sym__procedure_specification_token1] = ACTIONS(2244), + [aux_sym__procedure_specification_token2] = ACTIONS(2244), + [aux_sym__procedure_specification_token3] = ACTIONS(2244), + [aux_sym__function_specification_token1] = ACTIONS(2244), + [aux_sym_return_token1] = ACTIONS(2244), + [aux_sym_package_declaration_token1] = ACTIONS(2244), + [aux_sym_open_token1] = ACTIONS(2244), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(2244), + [aux_sym_file_type_definition_token1] = ACTIONS(2244), + [aux_sym_subtype_declaration_token1] = ACTIONS(2244), + [aux_sym_constant_declaration_token1] = ACTIONS(2244), + [aux_sym_signal_declaration_token1] = ACTIONS(2244), + [aux_sym_variable_declaration_token1] = ACTIONS(2244), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(2244), + [aux_sym_default_token1] = ACTIONS(2244), + [aux_sym_alias_declaration_token1] = ACTIONS(2244), + [aux_sym_attribute_declaration_token1] = ACTIONS(2244), + [aux_sym_component_declaration_token1] = ACTIONS(2244), + [aux_sym_group_template_declaration_token1] = ACTIONS(2244), + [aux_sym_entity_class_token3] = ACTIONS(2244), + [aux_sym_entity_class_token4] = ACTIONS(2244), + [aux_sym_binding_indication_token1] = ACTIONS(2244), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(2244), + [aux_sym_disconnection_specification_token1] = ACTIONS(2244), + [anon_sym_LT_LT] = ACTIONS(2244), + [aux_sym_null_token1] = ACTIONS(2244), + [aux_sym_wait_statement_token1] = ACTIONS(2244), + [aux_sym_assertion_statement_token1] = ACTIONS(2244), + [aux_sym_assertion_statement_token2] = ACTIONS(2244), + [aux_sym__report_token1] = ACTIONS(2244), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(2244), + [aux_sym_if_statement_token1] = ACTIONS(2244), + [aux_sym_case_statement_token1] = ACTIONS(2244), + [aux_sym_loop_statement_token1] = ACTIONS(2244), + [aux_sym_while_loop_token1] = ACTIONS(2244), + [aux_sym_next_statement_token1] = ACTIONS(2244), + [aux_sym_exit_statement_token1] = ACTIONS(2244), + [aux_sym_block_statement_token1] = ACTIONS(2244), + [aux_sym_process_statement_token1] = ACTIONS(2244), + [aux_sym_library_clause_token1] = ACTIONS(2244), + [aux_sym_context_declaration_token1] = ACTIONS(2244), + [sym_extended_identifier] = ACTIONS(2244), + [aux_sym_character_literal_token1] = ACTIONS(2244), + [aux_sym_character_literal_token2] = ACTIONS(2246), + [aux_sym_string_literal_token1] = ACTIONS(2244), + [aux_sym_string_literal_token2] = ACTIONS(2246), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(2246), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(2244), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(2246), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(2244), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(2244), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(2244), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(2244), + [aux_sym_PSL_VProp_token1] = ACTIONS(2244), + [aux_sym_PSL_VMode_token1] = ACTIONS(2244), + }, + [983] = { + [ts_builtin_sym_end] = ACTIONS(2248), + [sym_basic_identifier] = ACTIONS(2250), + [aux_sym_entity_declaration_token1] = ACTIONS(2248), + [aux_sym_entity_declaration_token4] = ACTIONS(2248), + [aux_sym_architecture_body_token1] = ACTIONS(2248), + [aux_sym_configuration_declaration_token1] = ACTIONS(2248), + [aux_sym_block_configuration_token1] = ACTIONS(2248), + [anon_sym_LPAREN] = ACTIONS(2248), + [aux_sym__procedure_specification_token1] = ACTIONS(2248), + [aux_sym__procedure_specification_token2] = ACTIONS(2248), + [aux_sym__procedure_specification_token3] = ACTIONS(2248), + [aux_sym__function_specification_token1] = ACTIONS(2248), + [aux_sym_return_token1] = ACTIONS(2248), + [aux_sym_package_declaration_token1] = ACTIONS(2248), + [aux_sym_open_token1] = ACTIONS(2248), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(2248), + [aux_sym_file_type_definition_token1] = ACTIONS(2248), + [aux_sym_subtype_declaration_token1] = ACTIONS(2248), + [aux_sym_constant_declaration_token1] = ACTIONS(2248), + [aux_sym_signal_declaration_token1] = ACTIONS(2248), + [aux_sym_variable_declaration_token1] = ACTIONS(2248), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(2248), + [aux_sym_default_token1] = ACTIONS(2248), + [aux_sym_alias_declaration_token1] = ACTIONS(2248), + [aux_sym_attribute_declaration_token1] = ACTIONS(2248), + [aux_sym_component_declaration_token1] = ACTIONS(2248), + [aux_sym_group_template_declaration_token1] = ACTIONS(2248), + [aux_sym_entity_class_token3] = ACTIONS(2248), + [aux_sym_entity_class_token4] = ACTIONS(2248), + [aux_sym_binding_indication_token1] = ACTIONS(2248), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(2248), + [aux_sym_disconnection_specification_token1] = ACTIONS(2248), + [anon_sym_LT_LT] = ACTIONS(2248), + [aux_sym_null_token1] = ACTIONS(2248), + [aux_sym_wait_statement_token1] = ACTIONS(2248), + [aux_sym_assertion_statement_token1] = ACTIONS(2248), + [aux_sym_assertion_statement_token2] = ACTIONS(2248), + [aux_sym__report_token1] = ACTIONS(2248), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(2248), + [aux_sym_if_statement_token1] = ACTIONS(2248), + [aux_sym_case_statement_token1] = ACTIONS(2248), + [aux_sym_loop_statement_token1] = ACTIONS(2248), + [aux_sym_while_loop_token1] = ACTIONS(2248), + [aux_sym_next_statement_token1] = ACTIONS(2248), + [aux_sym_exit_statement_token1] = ACTIONS(2248), + [aux_sym_block_statement_token1] = ACTIONS(2248), + [aux_sym_process_statement_token1] = ACTIONS(2248), + [aux_sym_library_clause_token1] = ACTIONS(2248), + [aux_sym_context_declaration_token1] = ACTIONS(2248), + [sym_extended_identifier] = ACTIONS(2248), + [aux_sym_character_literal_token1] = ACTIONS(2248), + [aux_sym_character_literal_token2] = ACTIONS(2250), + [aux_sym_string_literal_token1] = ACTIONS(2248), + [aux_sym_string_literal_token2] = ACTIONS(2250), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(2250), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(2248), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(2250), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(2248), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(2248), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(2248), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(2248), + [aux_sym_PSL_VProp_token1] = ACTIONS(2248), + [aux_sym_PSL_VMode_token1] = ACTIONS(2248), + }, + [984] = { + [ts_builtin_sym_end] = ACTIONS(2252), + [sym_basic_identifier] = ACTIONS(2254), + [aux_sym_entity_declaration_token1] = ACTIONS(2252), + [aux_sym_entity_declaration_token4] = ACTIONS(2252), + [aux_sym_architecture_body_token1] = ACTIONS(2252), + [aux_sym_configuration_declaration_token1] = ACTIONS(2252), + [aux_sym_block_configuration_token1] = ACTIONS(2252), + [anon_sym_LPAREN] = ACTIONS(2252), + [aux_sym__procedure_specification_token1] = ACTIONS(2252), + [aux_sym__procedure_specification_token2] = ACTIONS(2252), + [aux_sym__procedure_specification_token3] = ACTIONS(2252), + [aux_sym__function_specification_token1] = ACTIONS(2252), + [aux_sym_return_token1] = ACTIONS(2252), + [aux_sym_package_declaration_token1] = ACTIONS(2252), + [aux_sym_open_token1] = ACTIONS(2252), + [aux_sym_incomplete_type_declaration_token1] = ACTIONS(2252), + [aux_sym_file_type_definition_token1] = ACTIONS(2252), + [aux_sym_subtype_declaration_token1] = ACTIONS(2252), + [aux_sym_constant_declaration_token1] = ACTIONS(2252), + [aux_sym_signal_declaration_token1] = ACTIONS(2252), + [aux_sym_variable_declaration_token1] = ACTIONS(2252), + [aux_sym_shared_variable_declaration_token1] = ACTIONS(2252), + [aux_sym_default_token1] = ACTIONS(2252), + [aux_sym_alias_declaration_token1] = ACTIONS(2252), + [aux_sym_attribute_declaration_token1] = ACTIONS(2252), + [aux_sym_component_declaration_token1] = ACTIONS(2252), + [aux_sym_group_template_declaration_token1] = ACTIONS(2252), + [aux_sym_entity_class_token3] = ACTIONS(2252), + [aux_sym_entity_class_token4] = ACTIONS(2252), + [aux_sym_binding_indication_token1] = ACTIONS(2252), + [aux_sym_verification_unit_binding_indication_token1] = ACTIONS(2252), + [aux_sym_disconnection_specification_token1] = ACTIONS(2252), + [anon_sym_LT_LT] = ACTIONS(2252), + [aux_sym_null_token1] = ACTIONS(2252), + [aux_sym_wait_statement_token1] = ACTIONS(2252), + [aux_sym_assertion_statement_token1] = ACTIONS(2252), + [aux_sym_assertion_statement_token2] = ACTIONS(2252), + [aux_sym__report_token1] = ACTIONS(2252), + [aux_sym_selected_waveform_assignment_token1] = ACTIONS(2252), + [aux_sym_if_statement_token1] = ACTIONS(2252), + [aux_sym_case_statement_token1] = ACTIONS(2252), + [aux_sym_loop_statement_token1] = ACTIONS(2252), + [aux_sym_while_loop_token1] = ACTIONS(2252), + [aux_sym_next_statement_token1] = ACTIONS(2252), + [aux_sym_exit_statement_token1] = ACTIONS(2252), + [aux_sym_block_statement_token1] = ACTIONS(2252), + [aux_sym_process_statement_token1] = ACTIONS(2252), + [aux_sym_library_clause_token1] = ACTIONS(2252), + [aux_sym_context_declaration_token1] = ACTIONS(2252), + [sym_extended_identifier] = ACTIONS(2252), + [aux_sym_character_literal_token1] = ACTIONS(2252), + [aux_sym_character_literal_token2] = ACTIONS(2254), + [aux_sym_string_literal_token1] = ACTIONS(2252), + [aux_sym_string_literal_token2] = ACTIONS(2254), + [sym_comment] = ACTIONS(3), + [sym_tool_directive] = ACTIONS(3), + [aux_sym_PSL_Assume_Directive_token1] = ACTIONS(2254), + [aux_sym_PSL_Assume_Guarantee_Directive_token1] = ACTIONS(2252), + [aux_sym_PSL_Restrict_Directive_token1] = ACTIONS(2254), + [aux_sym_PSL_Restrict_Guarantee_Directive_token1] = ACTIONS(2252), + [aux_sym_PSL_Cover_Directive_token1] = ACTIONS(2252), + [aux_sym_PSL_Fairness_Directive_token1] = ACTIONS(2252), + [aux_sym_PSL_Strong_Fairness_Directive_token1] = ACTIONS(2252), + [aux_sym_PSL_VProp_token1] = ACTIONS(2252), + [aux_sym_PSL_VMode_token1] = ACTIONS(2252), + }, +}; + +static const uint16_t ts_small_parse_table[] = { + [0] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [71] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2262), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2260), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [142] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2266), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2264), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [213] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2270), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2268), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [284] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2274), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2272), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [355] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2278), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2276), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [426] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2282), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2280), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [497] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2286), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2284), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [568] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2290), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2288), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [639] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2294), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2292), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [710] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2298), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2296), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [781] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2302), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2300), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [852] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2306), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2304), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [923] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [994] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2314), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2312), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1065] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1136] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2294), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2292), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1207] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1278] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2318), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2316), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1349] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1420] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2322), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2320), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1491] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1562] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2318), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2316), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1633] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1704] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1775] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2326), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2324), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1846] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1917] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2330), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2328), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [1988] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2334), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2332), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2059] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2130] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2338), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2336), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2201] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2342), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2340), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2272] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2346), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2344), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2343] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2326), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2324), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2414] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2348), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2485] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2354), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2352), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2556] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2358), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2356), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2627] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2354), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2352), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2698] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2362), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2360), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2769] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2364), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2840] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2370), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2368), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2911] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2374), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2372), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [2982] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2378), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2376), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3053] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2382), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2380), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3124] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2386), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2384), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3195] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2390), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2388), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3266] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3337] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2394), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2392), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3408] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2398), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2396), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3479] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3550] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2402), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2400), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3621] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2406), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2404), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3692] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2410), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2408), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3763] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2414), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2412), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3834] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2418), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2416), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3905] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2422), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2420), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [3976] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2426), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2424), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4047] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2430), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2428), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4118] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2434), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2432), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4189] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2436), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4260] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2442), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2440), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4331] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2446), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2444), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4402] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2436), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4473] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2450), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2448), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4544] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2454), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2452), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4615] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2458), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2456), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4686] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4757] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2462), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2460), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4828] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2466), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2464), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4899] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2470), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2468), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [4970] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2474), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2472), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5041] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2478), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2476), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5112] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2482), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2480), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5183] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5254] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5325] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2484), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5396] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5467] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2490), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2488), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5538] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2426), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2424), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5609] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5680] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2494), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2492), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5751] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2406), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2404), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5822] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2498), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2496), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5893] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2502), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2500), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [5964] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2506), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2504), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6035] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2510), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2508), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6106] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2514), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2512), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6177] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2518), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2516), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6248] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2522), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2520), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6319] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2510), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2508), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6390] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2526), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2524), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6461] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2530), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2528), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6532] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2534), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2532), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6603] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2478), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2476), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6674] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2538), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2536), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6745] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1736), 1, + sym_extended_identifier, + ACTIONS(2540), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4565), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5806), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2216), 2, + sym__simple_name, + sym_selected_name, + STATE(4668), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [6842] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2544), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2542), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6913] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2548), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2546), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [6984] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2552), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2550), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7055] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2556), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2554), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7126] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2560), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2558), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7197] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2564), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2562), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7268] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2568), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2566), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7339] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2436), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7410] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2572), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2570), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7481] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2576), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2574), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7552] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2580), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2578), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7623] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2584), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2582), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7694] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2588), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2586), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7765] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2592), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2590), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7836] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2576), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2574), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7907] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2596), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2594), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [7978] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2600), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2598), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8049] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2564), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2562), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8120] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2604), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2602), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8191] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2608), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2606), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8262] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2406), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2404), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8333] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2612), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2610), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8404] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2612), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2610), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8475] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2548), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2546), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8546] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2616), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2614), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8617] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2620), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2618), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8688] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2624), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2622), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8759] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2628), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2626), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8830] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2632), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2630), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8901] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2636), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2634), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [8972] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2640), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2638), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9043] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2644), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2642), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9114] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2648), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2646), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9185] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2652), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2650), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9256] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2656), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2654), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9327] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2656), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2654), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9398] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2660), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2658), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9469] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2664), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2662), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9540] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2644), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2642), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9611] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2644), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2642), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9682] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2656), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2654), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9753] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2668), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2666), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9824] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2672), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2670), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9895] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2676), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2674), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [9966] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2676), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2674), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10037] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2680), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2678), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10108] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2684), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2682), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10179] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2688), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2686), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10250] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2692), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2690), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10321] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2696), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2694), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10392] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2700), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2698), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10463] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2704), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2702), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10534] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2708), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2706), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10605] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2712), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2710), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10676] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2716), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2714), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10747] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2720), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2718), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10818] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2724), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2722), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10889] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2728), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2726), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [10960] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2732), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2730), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11031] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2736), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2734), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11102] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2732), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2730), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11173] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2740), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2738), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11244] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2744), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2742), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11315] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2746), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(2748), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [11386] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2750), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(2752), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [11457] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2756), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2754), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11528] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2760), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2758), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11599] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2764), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2762), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11670] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2768), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2766), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11741] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2772), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2770), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11812] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2548), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2546), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11883] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2776), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2774), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [11954] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2780), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2778), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12025] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2784), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2782), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12096] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2788), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2786), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12167] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2792), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2790), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12238] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2784), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2782), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12309] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2796), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2794), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12380] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2414), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2412), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12451] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2800), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2798), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12522] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2804), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2802), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12593] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2808), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2806), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12664] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2812), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2810), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12735] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2816), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2814), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12806] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2808), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2806), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12877] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2820), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2818), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [12948] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2824), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2822), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13019] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2828), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2826), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13090] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2832), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2830), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13161] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2836), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2834), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13232] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2832), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2830), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13303] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2840), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2838), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13374] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2844), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2842), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13445] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2848), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2846), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13516] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2852), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2850), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13587] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2808), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2806), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13658] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2856), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2854), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13729] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2860), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2858), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13800] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2864), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2862), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13871] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2868), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2866), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [13942] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2856), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2854), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14013] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2872), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2870), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14084] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2844), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2842), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14155] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2876), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2874), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14226] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2880), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2878), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14297] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2884), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2882), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14368] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2888), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2886), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14439] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2892), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2890), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14510] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2896), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2894), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14581] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2900), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2898), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14652] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2904), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2902), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14723] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2908), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2906), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14794] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2912), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2910), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14865] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2908), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2906), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [14936] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2916), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2914), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15007] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2916), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2914), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15078] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2916), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2914), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15149] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2920), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2918), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15220] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2916), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2914), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15291] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2924), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2922), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15362] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2924), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2922), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15433] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2928), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2926), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15504] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2932), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2930), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15575] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2924), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2922), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15646] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2924), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2922), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15717] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2936), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2934), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15788] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2940), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2938), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15859] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2944), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2942), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [15930] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2948), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2946), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16001] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2760), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2758), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16072] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2760), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2758), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16143] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2952), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2950), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16214] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2936), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2934), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16285] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2956), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2954), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16356] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2960), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2958), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16427] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2880), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2878), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16498] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2962), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(2964), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [16569] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2968), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2966), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16640] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2972), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2970), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16711] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2976), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2974), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16782] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2980), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2978), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16853] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2984), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2982), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16924] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2988), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2986), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [16995] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2992), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2990), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17066] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2968), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2966), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17137] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2760), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2758), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17208] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2980), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2978), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17279] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2996), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2994), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17350] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3000), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2998), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17421] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3004), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3002), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17492] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3008), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3006), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17563] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3012), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3010), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17634] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3016), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3014), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17705] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3020), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3018), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17776] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3024), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3022), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17847] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3028), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3026), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17918] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2976), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2974), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [17989] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3030), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(3032), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [18060] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3036), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3034), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18131] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2660), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2658), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18202] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2976), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2974), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18273] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3040), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3038), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18344] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2756), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2754), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18415] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3044), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3042), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18486] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3048), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3046), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18557] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3052), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3050), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18628] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3056), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3054), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18699] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3060), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3058), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18770] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18841] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2992), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2990), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18912] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3068), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3066), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [18983] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19054] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3072), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3070), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19125] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2980), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2978), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19196] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3076), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3074), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19267] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3080), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3078), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19338] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3084), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3082), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19409] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19480] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3088), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3086), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19551] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3092), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3090), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19622] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19693] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3096), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3094), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19764] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3100), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3098), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19835] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3104), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3102), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19906] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3088), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3086), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [19977] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3108), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3106), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20048] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3112), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3110), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20119] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3116), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3114), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20190] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3120), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3118), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20261] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3124), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3122), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20332] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3128), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3126), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20403] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3132), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3130), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20474] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3136), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3134), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20545] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3140), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3138), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20616] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3144), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3142), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20687] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3148), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3146), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20758] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3152), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3150), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20829] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3156), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3154), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20900] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3160), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3158), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [20971] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3164), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3162), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21042] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3168), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3166), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21113] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3172), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3170), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21184] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3176), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3174), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21255] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3180), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3178), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21326] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3182), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(3184), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [21397] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3188), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3186), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21468] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3192), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3190), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21539] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3196), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3194), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21610] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3198), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(3200), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [21681] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3204), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3202), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21752] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3208), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3206), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21823] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3156), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3154), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21894] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3176), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3174), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [21965] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3212), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3210), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22036] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3216), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3214), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22107] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3220), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3218), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22178] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3224), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3222), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22249] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3228), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3226), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22320] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3232), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3230), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22391] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3234), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(3236), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [22462] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3232), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3230), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22533] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3240), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3238), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22604] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22675] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2490), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2488), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22746] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3244), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3242), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22817] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3192), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3190), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22888] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [22959] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3196), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3194), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23030] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23101] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3248), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3246), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23172] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3252), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3250), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23243] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3256), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3254), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23314] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3260), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3258), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23385] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3264), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3262), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23456] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23527] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23598] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3268), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3266), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23669] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3272), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3270), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23740] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3276), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3274), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23811] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3280), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3278), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23882] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3284), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3282), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [23953] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3288), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3286), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24024] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3292), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3290), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24095] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3296), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3294), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24166] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3300), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3298), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24237] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24308] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3308), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3306), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24379] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3312), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3310), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24450] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3316), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3314), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24521] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24592] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3320), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3318), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24663] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3324), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3322), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24734] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3328), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3326), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24805] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3332), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3330), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24876] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3336), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3334), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [24947] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3341), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3338), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25018] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3346), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3344), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25089] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3348), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25160] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3354), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3352), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25231] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3358), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3356), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25302] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3362), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3360), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25373] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3364), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25444] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3370), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3368), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25515] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3220), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3218), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25586] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3374), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3372), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25657] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3378), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3376), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25728] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3382), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3380), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25799] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3384), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(3386), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [25870] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3390), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3388), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [25941] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3394), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3392), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26012] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26083] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3398), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3396), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26154] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26225] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3402), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3400), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26296] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3406), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3404), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26367] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3410), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3408), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26438] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3414), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3412), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26509] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3096), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3094), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26580] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3418), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3416), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26651] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3422), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3420), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26722] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3426), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3424), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26793] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3430), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3428), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26864] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3434), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3432), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [26935] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3436), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27006] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3442), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3440), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27077] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3446), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3444), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27148] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3450), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3448), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27219] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3454), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3452), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27290] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3458), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3456), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27361] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3462), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3460), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27432] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3466), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3464), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27503] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3470), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3468), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27574] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3474), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3472), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27645] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3478), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3476), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27716] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3482), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3480), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27787] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3484), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27858] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3490), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3488), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [27929] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3494), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3492), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28000] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28071] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3498), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3496), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28142] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3502), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3500), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28213] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28284] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3506), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3504), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28355] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3510), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3508), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28426] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3514), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3512), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28497] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3516), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(3518), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [28568] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3522), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3520), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28639] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3526), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3524), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28710] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3498), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3496), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28781] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3530), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3528), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [28852] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3532), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(3534), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [28923] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3536), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(3538), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [28994] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3540), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(3542), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [29065] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3470), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3468), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29136] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3546), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3544), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29207] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3550), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3548), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29278] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3554), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3552), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29349] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3558), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3556), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29420] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3550), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3548), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29491] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3562), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3560), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29562] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3566), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3564), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29633] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3570), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3568), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29704] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3574), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3572), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29775] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3526), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3524), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29846] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29917] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3578), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3576), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [29988] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3582), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3580), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30059] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3586), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3584), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30130] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3590), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3588), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30201] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3594), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3592), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30272] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3598), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3596), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30343] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3602), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3600), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30414] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3606), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3604), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30485] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3586), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3584), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30556] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3602), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3600), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30627] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3610), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3608), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30698] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3614), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3612), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30769] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3614), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3612), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30840] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3618), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3616), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30911] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3622), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3620), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [30982] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3626), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3624), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31053] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3630), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3628), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31124] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3634), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3632), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31195] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3638), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3636), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31266] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3642), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3640), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31337] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3646), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3644), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31408] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3642), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3640), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31479] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3650), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3648), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31550] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3654), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3652), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31621] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3658), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3656), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31692] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3662), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3660), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31763] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3650), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3648), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31834] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3666), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3664), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31905] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3670), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3668), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [31976] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3670), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3668), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32047] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3674), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3672), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32118] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3678), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3676), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32189] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3682), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3680), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32260] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3686), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3684), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32331] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3690), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3688), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32402] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3694), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3692), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32473] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3698), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3696), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32544] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3702), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3700), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32615] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3706), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3704), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32686] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3710), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3708), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32757] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3714), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3712), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32828] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3718), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3716), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32899] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3722), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3720), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [32970] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3726), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3724), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33041] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33112] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3730), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3728), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33183] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3734), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3732), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33254] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3738), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3736), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33325] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3742), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3740), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33396] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3746), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3744), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33467] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3750), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3748), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33538] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3754), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3752), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33609] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3758), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3756), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33680] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3762), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3760), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33751] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3766), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3764), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33822] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3770), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3768), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33893] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3762), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3760), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [33964] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3774), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3772), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34035] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3778), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3776), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34106] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3782), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3780), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34177] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3770), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3768), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34248] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3786), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3784), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34319] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3790), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3788), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34390] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3794), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3792), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34461] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3798), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3796), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34532] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3802), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3800), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34603] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3806), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3804), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34674] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3810), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3808), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34745] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3490), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3488), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34816] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3814), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3812), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34887] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3818), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3816), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [34958] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3822), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3820), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35029] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3826), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3824), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35100] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3830), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3828), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35171] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3590), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3588), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35242] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3834), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3832), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35313] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3838), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3836), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35384] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3842), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3840), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35455] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3834), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3832), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35526] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3846), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3844), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35597] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3850), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3848), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35668] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3854), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3852), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35739] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3858), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3856), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35810] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3862), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3860), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35881] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3866), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3864), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [35952] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3870), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3868), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36023] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3874), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3872), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36094] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3878), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3876), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36165] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3882), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3880), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36236] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3886), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3884), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36307] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3890), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3888), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36378] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3866), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3864), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36449] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3894), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3892), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36520] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3898), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3896), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36591] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3902), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3900), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36662] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3906), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3904), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36733] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3910), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3908), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36804] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3914), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3912), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36875] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3918), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3916), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [36946] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37017] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3926), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3924), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37088] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37159] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3894), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3892), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37230] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37301] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3894), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3892), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37372] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37443] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3930), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3928), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37514] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37585] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3934), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3932), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37656] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37727] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3938), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3936), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37798] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37869] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3886), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3884), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [37940] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3942), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3940), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38011] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3946), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3944), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38082] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3678), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3676), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38153] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38224] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3894), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3892), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38295] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3950), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3948), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38366] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3678), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3676), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38437] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3952), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(3954), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [38508] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38579] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3958), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3956), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38650] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3962), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3960), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38721] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3966), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3964), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38792] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3970), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3968), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38863] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3974), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3972), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [38934] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3978), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3976), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39005] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3982), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3980), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39076] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3986), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3984), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39147] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3990), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3988), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39218] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3994), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3992), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39289] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3678), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3676), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39360] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3998), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3996), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39431] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4002), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4000), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39502] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4006), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4004), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39573] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4010), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4008), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39644] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4014), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4012), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39715] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4018), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4016), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39786] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4022), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4020), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39857] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3702), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3700), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39928] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [39999] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4030), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4028), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40070] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4034), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4032), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40141] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4038), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4036), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40212] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4042), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4040), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40283] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40354] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3950), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3948), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40425] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4046), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4044), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40496] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4050), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4048), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40567] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4055), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4052), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40638] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4060), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4058), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40709] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40780] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1832), 1, + sym_extended_identifier, + ACTIONS(4062), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4593), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5809), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2228), 2, + sym__simple_name, + sym_selected_name, + STATE(4632), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [40877] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4066), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4064), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [40948] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41019] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4070), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4068), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41090] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4074), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4072), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41161] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4078), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4076), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41232] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4082), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4080), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41303] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4086), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4084), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41374] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4090), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4088), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41445] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4094), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4092), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41516] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4098), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4096), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41587] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4102), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4100), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41658] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4106), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4104), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41729] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4110), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4108), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41800] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4114), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4112), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41871] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4118), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4116), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [41942] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4118), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4116), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42013] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42084] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4122), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4120), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42155] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4126), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4124), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42226] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4070), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4068), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42297] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4130), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4128), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42368] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4134), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4132), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42439] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4138), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4136), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42510] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42581] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4142), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4140), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42652] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42723] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4146), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4144), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42794] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4138), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4136), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42865] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [42936] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4150), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4148), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43007] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4154), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4152), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43078] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4158), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4156), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43149] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4118), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4116), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43220] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3690), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3688), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43291] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4162), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4160), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43362] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4130), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4128), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43433] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4166), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4164), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43504] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4170), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4168), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43575] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4174), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4172), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43646] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4178), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4176), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43717] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4182), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4180), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43788] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4138), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4136), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43859] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4186), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4184), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [43930] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4190), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4188), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44001] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4194), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4192), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44072] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4138), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4136), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44143] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4198), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4196), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44214] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4202), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4200), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44285] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4206), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4204), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44356] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4154), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4152), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44427] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4210), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4208), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44498] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4214), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4212), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44569] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4218), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4216), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44640] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4098), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4096), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44711] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4222), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4220), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44782] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4170), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4168), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44853] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4226), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4224), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44924] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4230), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4228), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [44995] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4118), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4116), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45066] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4102), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4100), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45137] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4234), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4232), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45208] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4238), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4236), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45279] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4242), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4240), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45350] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4246), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4244), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45421] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4250), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4248), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45492] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4106), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4104), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45563] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4254), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4252), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45634] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3978), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3976), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45705] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3770), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3768), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45776] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4256), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45847] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4186), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4184), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45918] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4262), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4260), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [45989] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3770), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3768), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46060] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4266), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4264), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46131] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4268), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(4270), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [46202] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4274), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4272), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46273] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4278), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4276), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46344] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4282), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4280), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46415] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4286), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4284), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46486] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4286), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4284), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46557] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4290), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4288), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46628] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4294), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4292), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46699] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4298), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4296), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46770] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4302), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4300), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46841] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4306), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4304), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46912] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4308), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [46983] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4314), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4312), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47054] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3978), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3976), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47125] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4318), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4316), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47196] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4322), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4320), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47267] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3978), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3976), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47338] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4326), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4324), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47409] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4298), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4296), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47480] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4330), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4328), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47551] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4334), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4332), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47622] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4326), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4324), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47693] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4338), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4336), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47764] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4342), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4340), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47835] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4346), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4344), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47906] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [47977] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48048] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4354), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4352), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48119] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4358), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4356), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48190] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48261] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4362), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4360), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48332] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4364), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48403] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48474] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48545] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4370), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4368), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48616] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48687] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48758] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48829] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4374), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4372), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48900] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4378), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4376), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [48971] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49042] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4382), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4380), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49113] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4386), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4384), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49184] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4390), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4388), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49255] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4394), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4392), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49326] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4396), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(4398), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [49397] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4364), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49468] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4402), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4400), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49539] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4406), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4404), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49610] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4410), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4408), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49681] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4414), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4412), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49752] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4418), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4416), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49823] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4422), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4420), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49894] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4426), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4424), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [49965] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4430), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4428), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50036] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4364), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50107] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4434), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4432), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50178] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4394), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4392), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50249] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4436), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50320] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4442), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4440), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50391] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4446), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4444), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50462] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4436), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50533] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4450), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4448), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50604] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4454), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4452), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50675] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4458), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4456), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50746] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4462), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4460), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50817] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4466), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4464), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [50888] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(2031), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [50959] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4470), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4468), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51030] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4458), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4456), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51101] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4474), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4472), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51172] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4476), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(4478), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [51243] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4480), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(4482), 53, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [51314] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51385] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4490), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4488), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51456] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4364), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51527] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4494), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4492), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51598] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4256), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51669] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4498), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4496), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51740] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4274), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4272), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51811] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(1920), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51882] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4502), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4500), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [51953] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52024] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4506), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4504), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52095] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52166] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4510), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4508), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52237] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4514), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4512), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52308] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52379] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4518), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4516), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52450] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4518), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4516), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52521] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4518), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4516), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52592] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4522), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4520), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52663] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4458), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4456), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52734] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4526), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4524), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52805] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4530), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4528), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52876] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4458), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4456), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [52947] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4518), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4516), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53018] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4534), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4532), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53089] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4538), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4536), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53160] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4542), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4540), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53231] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4546), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4544), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53302] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53373] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53444] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4550), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4548), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53515] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4554), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4552), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53586] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4150), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4148), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53657] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4558), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4556), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53728] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4562), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4560), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53799] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53870] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [53941] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4566), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4564), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [54012] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4570), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4568), 57, + ts_builtin_sym_end, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_verification_unit_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_library_clause_token1, + aux_sym_context_declaration_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_VProp_token1, + aux_sym_PSL_VMode_token1, + [54083] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3830), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3828), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [54153] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1944), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1946), 51, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [54223] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3466), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3464), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [54293] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3474), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3472), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [54363] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3478), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3476), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [54433] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3494), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3492), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [54503] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3686), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3684), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [54573] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2262), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2260), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [54643] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3706), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3704), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [54713] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3694), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3692), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [54783] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1988), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1990), 51, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [54853] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3714), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3712), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [54923] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2004), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2006), 51, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [54993] = 40, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4572), 1, + anon_sym_RPAREN, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4580), 1, + anon_sym_EQ_GT, + ACTIONS(4582), 1, + aux_sym_reduction_token1, + ACTIONS(4584), 1, + aux_sym_reduction_token2, + ACTIONS(4586), 1, + aux_sym_reduction_token3, + ACTIONS(4590), 1, + aux_sym_reduction_token6, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(4616), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(5468), 1, + aux_sym_choices_repeat1, + STATE(5617), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4588), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4614), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [55137] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1938), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1940), 51, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [55207] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1934), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1936), 51, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [55277] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1984), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1986), 51, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [55347] = 13, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4625), 1, + anon_sym_DOT, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(1992), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 40, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [55437] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2004), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2006), 52, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [55507] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1938), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1940), 52, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [55577] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1934), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1936), 52, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [55647] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1984), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1986), 52, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [55717] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1944), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1946), 52, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [55787] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1988), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1990), 52, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [55857] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4086), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4084), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [55927] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4055), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4052), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [55997] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4050), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4048), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56067] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4046), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4044), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56137] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3918), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3916), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56207] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3914), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3912), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56277] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3346), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3344), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56347] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3341), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3338), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56417] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3328), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3326), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56487] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3312), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3310), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56557] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3308), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3306), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56627] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3296), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3294), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56697] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3284), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3282), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56767] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3280), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3278), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56837] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3276), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3274), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56907] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3300), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3298), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [56977] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4222), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4220), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57047] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3962), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3960), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57117] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3946), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3944), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57187] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4254), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4252), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57257] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4242), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4240), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57327] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4330), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4328), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57397] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4338), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4336), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57467] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4346), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4344), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57537] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4426), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4424), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57607] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4114), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4112), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57677] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4078), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4076), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57747] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3004), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3002), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57817] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3000), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2998), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57887] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2988), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2986), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [57957] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4066), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4064), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58027] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2984), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2982), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58097] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3990), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3988), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58167] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2788), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2786), 56, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58237] = 4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2490), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4630), 18, + aux_sym_entity_declaration_token3, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + ACTIONS(2488), 37, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_open_token1, + aux_sym_default_token1, + aux_sym_component_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58308] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2236), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2238), 51, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + [58377] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3160), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3158), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58446] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3144), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3142), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58515] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2434), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2432), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58584] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3016), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3014), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58653] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3228), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3226), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58722] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4162), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4160), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58791] = 4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3690), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4632), 18, + aux_sym_entity_declaration_token3, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + ACTIONS(3688), 37, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_open_token1, + aux_sym_default_token1, + aux_sym_component_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58862] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3942), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3940), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [58931] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2732), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2730), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59000] = 4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2732), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4634), 18, + aux_sym_entity_declaration_token3, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + ACTIONS(2730), 37, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_open_token1, + aux_sym_default_token1, + aux_sym_component_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59071] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2490), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2488), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59140] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(2191), 1, + anon_sym_LPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(1994), 43, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [59225] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3156), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3154), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59294] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3176), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3174), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59363] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4098), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4096), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59432] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3156), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3154), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59501] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3176), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3174), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59570] = 4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4134), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4636), 18, + aux_sym_entity_declaration_token3, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + ACTIONS(4132), 37, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_open_token1, + aux_sym_default_token1, + aux_sym_component_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59641] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4190), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4188), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59710] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2900), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2898), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59779] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2896), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2894), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59848] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2236), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2238), 50, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [59917] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3462), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3460), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [59986] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2240), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2242), 50, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [60055] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3364), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [60124] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3390), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3388), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [60193] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3510), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3508), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [60262] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3550), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3548), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [60331] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3550), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3548), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [60400] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3426), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3424), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [60469] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2236), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(2238), 50, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [60538] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2240), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(2242), 50, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [60607] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4102), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4100), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [60676] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3148), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3146), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [60745] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3738), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3736), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [60814] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4146), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4144), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [60883] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3124), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3122), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [60952] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3104), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3102), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61021] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4178), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4176), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61090] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3436), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61159] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3530), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3528), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61228] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3630), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3628), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61297] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3554), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3552), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61366] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3578), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3576), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61435] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3096), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3094), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61504] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3096), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3094), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61573] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3394), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3392), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61642] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3240), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3238), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61711] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2240), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2242), 51, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + [61780] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4098), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4096), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61849] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4102), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4100), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61918] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2928), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2926), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [61987] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1154), 1, + sym_extended_identifier, + ACTIONS(4638), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4614), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5837), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2453), 2, + sym__simple_name, + sym_selected_name, + STATE(4674), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 37, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [62082] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3690), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3688), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62151] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2920), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2918), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62220] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4374), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4372), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62289] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3108), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3106), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62358] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2948), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2946), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62427] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4450), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4448), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62496] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4274), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4272), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62565] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4274), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4272), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62634] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3204), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3202), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62703] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3172), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3170), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62772] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3152), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3150), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62841] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2908), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2906), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62910] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2908), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2906), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [62979] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2904), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2902), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [63048] = 13, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4625), 1, + anon_sym_DOT, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 40, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [63137] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4302), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4300), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [63206] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2362), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2360), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [63275] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2364), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [63344] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4158), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4156), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [63413] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4558), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4556), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [63482] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2932), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2930), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [63551] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2620), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2618), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [63620] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2740), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2738), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [63689] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2764), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2762), 55, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_return_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [63758] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(2129), 1, + anon_sym_DOT, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(2031), 42, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [63842] = 38, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4580), 1, + anon_sym_EQ_GT, + ACTIONS(4582), 1, + aux_sym_reduction_token1, + ACTIONS(4584), 1, + aux_sym_reduction_token2, + ACTIONS(4586), 1, + aux_sym_reduction_token3, + ACTIONS(4590), 1, + aux_sym_reduction_token6, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4640), 1, + anon_sym_RPAREN, + ACTIONS(4642), 1, + anon_sym_COMMA, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(5468), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4588), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4614), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [63980] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4644), 1, + anon_sym_DOT, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 44, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [64064] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4646), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(4648), 42, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [64148] = 13, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4654), 1, + anon_sym_DOT, + ACTIONS(4656), 1, + anon_sym_SQUOTE, + STATE(1974), 1, + sym__attribute_designator, + STATE(2070), 1, + sym__predefined_attribute_designator, + STATE(2072), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [64236] = 38, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4580), 1, + anon_sym_EQ_GT, + ACTIONS(4582), 1, + aux_sym_reduction_token1, + ACTIONS(4584), 1, + aux_sym_reduction_token2, + ACTIONS(4586), 1, + aux_sym_reduction_token3, + ACTIONS(4590), 1, + aux_sym_reduction_token6, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4642), 1, + anon_sym_COMMA, + ACTIONS(4659), 1, + anon_sym_RPAREN, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(5468), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4588), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4614), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [64374] = 51, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(29), 1, + aux_sym_open_token1, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(79), 1, + aux_sym_if_statement_token1, + ACTIONS(85), 1, + aux_sym_while_loop_token1, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(311), 1, + aux_sym_entity_declaration_token1, + ACTIONS(318), 1, + aux_sym_configuration_declaration_token1, + ACTIONS(1211), 1, + aux_sym_component_declaration_token1, + ACTIONS(4661), 1, + sym_basic_identifier, + ACTIONS(4663), 1, + aux_sym_block_configuration_token1, + ACTIONS(4665), 1, + aux_sym_return_token1, + ACTIONS(4667), 1, + aux_sym_null_token1, + ACTIONS(4669), 1, + aux_sym_wait_statement_token1, + ACTIONS(4671), 1, + aux_sym_assertion_statement_token1, + ACTIONS(4673), 1, + aux_sym_assertion_statement_token2, + ACTIONS(4675), 1, + aux_sym_selected_waveform_assignment_token1, + ACTIONS(4677), 1, + aux_sym_case_statement_token1, + ACTIONS(4679), 1, + aux_sym_loop_statement_token1, + ACTIONS(4681), 1, + aux_sym_next_statement_token1, + ACTIONS(4683), 1, + aux_sym_exit_statement_token1, + ACTIONS(4685), 1, + aux_sym_block_statement_token1, + ACTIONS(4687), 1, + aux_sym_process_statement_token1, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(4691), 1, + aux_sym_PSL_Assume_Directive_token1, + ACTIONS(4693), 1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + ACTIONS(4695), 1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4697), 1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + ACTIONS(4699), 1, + aux_sym_PSL_Cover_Directive_token1, + ACTIONS(4701), 1, + aux_sym_PSL_Fairness_Directive_token1, + ACTIONS(4703), 1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(4790), 1, + sym_if, + STATE(4792), 1, + sym_if_generate, + STATE(5267), 1, + sym_slice_name, + STATE(5450), 1, + sym__report, + STATE(5863), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4236), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(7190), 2, + sym_while_loop, + sym_for_loop, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(4568), 5, + sym_open, + sym__entity_aspect, + sym_entity_instantiation, + sym_configuration_instantiation, + sym_component_instantiation, + [64538] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(2031), 42, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [64622] = 20, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4614), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4709), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 30, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_PIPE, + aux_sym_PSL_Union_Expression_token1, + [64724] = 22, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 22, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [64830] = 22, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 22, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [64936] = 13, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4715), 1, + anon_sym_DOT, + ACTIONS(4717), 1, + anon_sym_SQUOTE, + STATE(2784), 1, + sym__attribute_designator, + STATE(2827), 1, + sym__predefined_attribute_designator, + STATE(2828), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 39, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [65024] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + STATE(2004), 1, + sym__attribute_designator, + STATE(2005), 1, + sym__predefined_attribute_designator, + STATE(2006), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 43, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [65105] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2240), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2242), 49, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [65172] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2236), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2238), 49, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [65239] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + STATE(2004), 1, + sym__attribute_designator, + STATE(2005), 1, + sym__predefined_attribute_designator, + STATE(2006), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 43, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [65320] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4646), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4648), 43, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [65403] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(2031), 42, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [65484] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1736), 1, + sym_extended_identifier, + ACTIONS(4722), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4567), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5817), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2853), 2, + sym__simple_name, + sym_selected_name, + STATE(4646), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 35, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [65577] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(4644), 1, + anon_sym_DOT, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 43, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [65660] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 43, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [65743] = 18, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 24, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [65839] = 36, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4744), 1, + anon_sym_SEMI, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(5392), 1, + sym__report, + STATE(7339), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [65971] = 13, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 40, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [66057] = 18, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 24, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [66153] = 18, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 24, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [66249] = 17, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 32, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [66343] = 36, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(4796), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(5368), 1, + sym__report, + STATE(7262), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [66475] = 18, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 24, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [66571] = 18, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 24, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [66667] = 18, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 24, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [66763] = 36, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(4800), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(5413), 1, + sym__report, + STATE(7262), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [66895] = 13, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + ACTIONS(4802), 1, + anon_sym_DOT, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 37, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [66981] = 36, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(4804), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(5665), 1, + sym__report, + STATE(6808), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [67113] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4806), 18, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [67219] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4818), 18, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [67325] = 13, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 40, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [67411] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(4644), 1, + anon_sym_DOT, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 43, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [67491] = 13, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 40, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [67577] = 36, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(4828), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(5338), 1, + sym__report, + STATE(7072), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [67709] = 6, + ACTIONS(4833), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4836), 3, + anon_sym_BANG, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + ACTIONS(1934), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + anon_sym_PIPE, + ACTIONS(4830), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(1936), 30, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__severity_token1, + aux_sym_PSL_Union_Expression_token1, + [67781] = 7, + ACTIONS(4838), 1, + anon_sym_LPAREN, + STATE(1924), 1, + sym_index_constraint, + STATE(2392), 1, + sym__array_element_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2395), 2, + sym_array_constraint, + sym_record_constraint, + ACTIONS(2043), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2045), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [67855] = 12, + ACTIONS(615), 1, + aux_sym_others_token1, + ACTIONS(1970), 1, + sym_basic_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(1974), 1, + sym_extended_identifier, + STATE(4464), 1, + sym__component_specification, + STATE(5390), 1, + sym__simple_name, + STATE(6798), 1, + sym_parameter_specification, + STATE(7300), 1, + sym_instantiation_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7299), 2, + sym_all, + sym_others, + ACTIONS(1976), 4, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(1968), 43, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [67939] = 8, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4842), 1, + aux_sym_range_constraint_token1, + ACTIONS(4844), 1, + anon_sym_SQUOTE, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 43, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [68015] = 11, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [68097] = 10, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 43, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [68177] = 10, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + ACTIONS(4856), 1, + anon_sym_LPAREN, + ACTIONS(4858), 1, + anon_sym_LBRACK, + STATE(2004), 1, + sym__attribute_designator, + STATE(2005), 1, + sym__predefined_attribute_designator, + STATE(2006), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 42, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [68257] = 7, + ACTIONS(4861), 1, + anon_sym_LPAREN, + STATE(1930), 1, + sym_index_constraint, + STATE(2337), 1, + sym__array_element_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2333), 2, + sym_array_constraint, + sym_record_constraint, + ACTIONS(2043), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2045), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [68331] = 10, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4863), 1, + anon_sym_LPAREN, + STATE(2010), 1, + sym__attribute_designator, + STATE(2011), 1, + sym__predefined_attribute_designator, + STATE(2012), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 42, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [68411] = 36, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(4865), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(5385), 1, + sym__report, + STATE(7339), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [68543] = 11, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [68625] = 10, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 43, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [68705] = 10, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 43, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [68785] = 6, + ACTIONS(4878), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4836), 3, + anon_sym_BANG, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + ACTIONS(4883), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + anon_sym_PIPE, + ACTIONS(4875), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4881), 30, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__severity_token1, + aux_sym_PSL_Union_Expression_token1, + [68857] = 13, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 38, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [68942] = 7, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4842), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1386), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 43, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [69015] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2004), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2006), 49, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [69080] = 11, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [69161] = 18, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 23, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [69256] = 10, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 41, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [69335] = 13, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 38, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [69420] = 18, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 23, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [69515] = 18, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 23, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [69610] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1934), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1936), 48, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [69675] = 18, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 23, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [69770] = 11, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4903), 1, + anon_sym_DOT, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 40, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [69851] = 18, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 23, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [69946] = 13, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 38, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [70031] = 11, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [70112] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4818), 17, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [70217] = 18, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 23, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [70312] = 17, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 30, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [70405] = 10, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 41, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [70484] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + STATE(2004), 1, + sym__attribute_designator, + STATE(2005), 1, + sym__predefined_attribute_designator, + STATE(2006), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 42, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [70563] = 8, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4844), 1, + anon_sym_SQUOTE, + ACTIONS(4915), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 41, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [70638] = 10, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 41, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [70717] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(4903), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4646), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4648), 40, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [70798] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2004), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2006), 48, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [70863] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1938), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1940), 49, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [70928] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1934), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1936), 49, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [70993] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1984), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1986), 49, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [71058] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1938), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1940), 48, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [71123] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1944), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1946), 48, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [71188] = 13, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + ACTIONS(4802), 1, + anon_sym_DOT, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 36, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [71273] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + STATE(2004), 1, + sym__attribute_designator, + STATE(2005), 1, + sym__predefined_attribute_designator, + STATE(2006), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 42, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [71352] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1984), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1986), 48, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [71417] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1944), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1946), 49, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [71482] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4806), 17, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [71587] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1988), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1990), 48, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [71652] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1988), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1990), 49, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [71717] = 22, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 18, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [71819] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [71883] = 11, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(4935), 1, + anon_sym_LPAREN, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [71963] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [72027] = 11, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4863), 1, + anon_sym_LPAREN, + ACTIONS(4903), 1, + anon_sym_DOT, + STATE(2001), 1, + sym__attribute_designator, + STATE(2002), 1, + sym__predefined_attribute_designator, + STATE(2003), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [72107] = 20, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4939), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 27, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym_PSL_Union_Expression_token1, + [72205] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2131), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2133), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [72269] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [72333] = 22, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 18, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [72435] = 34, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(4941), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(7284), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [72561] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [72625] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4943), 5, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [72745] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1934), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1936), 46, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [72809] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1988), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1990), 46, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [72873] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1944), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1946), 46, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [72937] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1984), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1986), 46, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [73001] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1938), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1940), 46, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [73065] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2004), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2006), 46, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [73129] = 18, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 22, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [73223] = 34, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(4955), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(6480), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [73349] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4863), 1, + anon_sym_LPAREN, + STATE(2010), 1, + sym__attribute_designator, + STATE(2011), 1, + sym__predefined_attribute_designator, + STATE(2012), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 41, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [73427] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + ACTIONS(4856), 1, + anon_sym_LPAREN, + STATE(2004), 1, + sym__attribute_designator, + STATE(2005), 1, + sym__predefined_attribute_designator, + STATE(2006), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 41, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [73505] = 34, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(4957), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(7030), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [73631] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [73695] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4959), 5, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [73815] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [73879] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [73943] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4903), 1, + anon_sym_DOT, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 40, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74023] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74087] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74151] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74215] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74279] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74343] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74407] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74471] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74535] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74599] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74663] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74727] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74791] = 13, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74875] = 11, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [74955] = 18, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 22, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [75049] = 23, + ACTIONS(4586), 1, + aux_sym_reduction_token3, + ACTIONS(4590), 1, + aux_sym_reduction_token6, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + ACTIONS(4961), 1, + aux_sym_reduction_token1, + ACTIONS(4963), 1, + aux_sym_reduction_token2, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4588), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4818), 16, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [75153] = 18, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 22, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [75247] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [75311] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [75375] = 18, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 22, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [75469] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2238), 48, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [75533] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2240), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2242), 48, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [75597] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [75661] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2114), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2116), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [75725] = 4, + ACTIONS(2118), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2114), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2116), 46, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [75791] = 23, + ACTIONS(4586), 1, + aux_sym_reduction_token3, + ACTIONS(4590), 1, + aux_sym_reduction_token6, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + ACTIONS(4961), 1, + aux_sym_reduction_token1, + ACTIONS(4963), 1, + aux_sym_reduction_token2, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4588), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4806), 16, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [75895] = 33, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4616), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4939), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4965), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [76019] = 8, + ACTIONS(4838), 1, + anon_sym_LPAREN, + ACTIONS(4971), 1, + aux_sym_range_constraint_token1, + ACTIONS(4973), 1, + anon_sym_SQUOTE, + STATE(1924), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2303), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 40, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [76093] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2093), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2095), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [76157] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2089), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2091), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [76221] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [76285] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [76349] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [76413] = 13, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [76497] = 18, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 22, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [76591] = 17, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 29, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [76683] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [76747] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [76811] = 13, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4975), 1, + anon_sym_DOT, + ACTIONS(4977), 1, + anon_sym_SQUOTE, + STATE(1974), 1, + sym__attribute_designator, + STATE(2070), 1, + sym__predefined_attribute_designator, + STATE(2072), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 35, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [76895] = 11, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4863), 1, + anon_sym_LPAREN, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(4980), 1, + anon_sym_SQUOTE, + STATE(2001), 1, + sym__attribute_designator, + STATE(2002), 1, + sym__predefined_attribute_designator, + STATE(2003), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [76975] = 13, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [77059] = 11, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(4935), 1, + anon_sym_LPAREN, + ACTIONS(4982), 1, + anon_sym_SQUOTE, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [77139] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [77203] = 22, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 18, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [77305] = 22, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 18, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [77407] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4959), 5, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [77527] = 20, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 27, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__report_token1, + aux_sym__severity_token1, + [77625] = 22, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 18, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [77727] = 22, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 18, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [77829] = 34, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(4985), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(6683), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [77955] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2240), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2242), 47, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [78019] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2114), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2116), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [78083] = 34, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(4987), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(6763), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [78209] = 11, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [78289] = 7, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4915), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1386), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 41, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [78361] = 4, + ACTIONS(2118), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2114), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2116), 47, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [78427] = 10, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 40, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [78505] = 34, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(4989), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(7291), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [78631] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2093), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2095), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [78695] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2089), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2091), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [78759] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2236), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2238), 47, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [78823] = 10, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 40, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [78901] = 20, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4614), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 27, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym_PSL_Union_Expression_token1, + [78999] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [79063] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [79127] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [79191] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2131), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2133), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [79255] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4943), 5, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [79375] = 18, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + ACTIONS(4602), 1, + anon_sym_AMP, + ACTIONS(4608), 1, + anon_sym_STAR_STAR, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4596), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4600), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4598), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 22, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [79469] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [79533] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2085), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2087), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [79597] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [79661] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [79725] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [79789] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2085), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2087), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [79853] = 10, + STATE(2787), 1, + aux_sym_term_repeat1, + STATE(2837), 1, + aux_sym_logical_expression_repeat1, + STATE(2838), 1, + aux_sym_logical_expression_repeat2, + STATE(2839), 1, + aux_sym_logical_expression_repeat3, + STATE(2840), 1, + aux_sym_logical_expression_repeat4, + STATE(2842), 1, + aux_sym_simple_expression_repeat1, + STATE(2843), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 40, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [79931] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 48, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [79995] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4991), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [80114] = 30, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4993), 4, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__report_token1, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [80231] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2171), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2173), 46, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [80294] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2185), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2187), 46, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [80357] = 30, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4939), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4993), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [80474] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4995), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [80593] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2185), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2187), 47, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [80656] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4997), 2, + anon_sym_SEMI, + aux_sym__report_token1, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [80777] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4999), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [80896] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2171), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2173), 47, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [80959] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2167), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2169), 47, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [81022] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2163), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2165), 47, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [81085] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4991), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [81204] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2200), 47, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [81267] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5001), 2, + anon_sym_SEMI, + aux_sym__report_token1, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [81388] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5003), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [81507] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5005), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [81626] = 4, + ACTIONS(5007), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2214), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2216), 46, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [81691] = 28, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(5009), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [81804] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5011), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [81923] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1872), 1, + sym_extended_identifier, + ACTIONS(5013), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4579), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5802), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3043), 2, + sym__simple_name, + sym_selected_name, + STATE(4630), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [82012] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4943), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [82131] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2220), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2222), 47, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [82194] = 30, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5015), 6, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [82311] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2163), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2165), 46, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [82374] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2167), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2169), 46, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [82437] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(4980), 1, + anon_sym_SQUOTE, + STATE(2007), 1, + sym__attribute_designator, + STATE(2008), 1, + sym__predefined_attribute_designator, + STATE(2009), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [82514] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4999), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [82633] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4959), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [82752] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5017), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [82871] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4939), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4997), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [82992] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4995), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [83111] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5003), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [83230] = 10, + ACTIONS(4856), 1, + anon_sym_LPAREN, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4982), 1, + anon_sym_SQUOTE, + STATE(2004), 1, + sym__attribute_designator, + STATE(2005), 1, + sym__predefined_attribute_designator, + STATE(2006), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [83307] = 11, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(5019), 1, + anon_sym_DOT, + ACTIONS(5021), 1, + anon_sym_SQUOTE, + STATE(1974), 1, + sym__attribute_designator, + STATE(2070), 1, + sym__predefined_attribute_designator, + STATE(2072), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [83386] = 28, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(5009), 10, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [83499] = 7, + ACTIONS(4838), 1, + anon_sym_LPAREN, + ACTIONS(4971), 1, + aux_sym_range_constraint_token1, + STATE(1924), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2382), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 40, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [83570] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5005), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [83689] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4939), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5001), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [83810] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4937), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5017), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [83929] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5011), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [84048] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4863), 1, + anon_sym_LPAREN, + ACTIONS(4903), 1, + anon_sym_DOT, + STATE(2001), 1, + sym__attribute_designator, + STATE(2002), 1, + sym__predefined_attribute_designator, + STATE(2003), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [84127] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(4935), 1, + anon_sym_LPAREN, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [84206] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2198), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2200), 46, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [84269] = 18, + ACTIONS(1994), 1, + aux_sym_range_constraint_token1, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(5023), 1, + anon_sym_LPAREN, + STATE(555), 1, + sym_index_constraint, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2104), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + STATE(5772), 2, + sym_array_constraint, + sym_record_constraint, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [84362] = 11, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(5025), 1, + anon_sym_DOT, + ACTIONS(5027), 1, + anon_sym_SQUOTE, + STATE(2784), 1, + sym__attribute_designator, + STATE(2827), 1, + sym__predefined_attribute_designator, + STATE(2828), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 39, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [84441] = 30, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5029), 6, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [84558] = 30, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5029), 6, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [84675] = 5, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(5031), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 9, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(2031), 43, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + anon_sym_RBRACE, + [84742] = 10, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4903), 1, + anon_sym_DOT, + STATE(2007), 1, + sym__attribute_designator, + STATE(2008), 1, + sym__predefined_attribute_designator, + STATE(2009), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [84819] = 30, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4967), 1, + aux_sym_reduction_token1, + ACTIONS(4969), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4232), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4233), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5015), 6, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [84936] = 28, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(5009), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [85048] = 10, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [85124] = 18, + ACTIONS(5033), 1, + anon_sym_PLUS, + ACTIONS(5035), 1, + anon_sym_DASH, + ACTIONS(5043), 1, + anon_sym_AMP, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5037), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5041), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5039), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 20, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [85216] = 18, + ACTIONS(5033), 1, + anon_sym_PLUS, + ACTIONS(5035), 1, + anon_sym_DASH, + ACTIONS(5043), 1, + anon_sym_AMP, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5037), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5041), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5039), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 20, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [85308] = 18, + ACTIONS(5033), 1, + anon_sym_PLUS, + ACTIONS(5035), 1, + anon_sym_DASH, + ACTIONS(5043), 1, + anon_sym_AMP, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5037), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5041), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5039), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 20, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [85400] = 11, + ACTIONS(4656), 1, + anon_sym_SQUOTE, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5019), 1, + anon_sym_DOT, + ACTIONS(5051), 1, + anon_sym_LPAREN, + STATE(1974), 1, + sym__attribute_designator, + STATE(2070), 1, + sym__predefined_attribute_designator, + STATE(2072), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [85478] = 11, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5019), 1, + anon_sym_DOT, + ACTIONS(5021), 1, + anon_sym_SQUOTE, + ACTIONS(5053), 1, + anon_sym_LPAREN, + STATE(2073), 1, + sym__attribute_designator, + STATE(2074), 1, + sym__predefined_attribute_designator, + STATE(2077), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [85556] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(5011), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [85674] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2202), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2204), 45, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [85736] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(5003), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [85854] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4995), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [85972] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(5055), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [86092] = 16, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(5057), 1, + anon_sym_LPAREN, + STATE(555), 1, + sym_index_constraint, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + STATE(5772), 2, + sym_array_constraint, + sym_record_constraint, + ACTIONS(1994), 3, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [86180] = 18, + ACTIONS(5033), 1, + anon_sym_PLUS, + ACTIONS(5035), 1, + anon_sym_DASH, + ACTIONS(5043), 1, + anon_sym_AMP, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5037), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5041), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5039), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 20, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [86272] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2746), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2748), 46, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [86334] = 4, + ACTIONS(2242), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [86398] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(5005), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [86516] = 30, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4614), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4993), 3, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [86632] = 10, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [86708] = 10, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [86784] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4999), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [86902] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(5059), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [87022] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(5027), 1, + anon_sym_SQUOTE, + STATE(2772), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2788), 1, + sym__predefined_attribute_designator, + STATE(2792), 1, + sym__attribute_designator, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 39, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [87098] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4717), 1, + anon_sym_SQUOTE, + STATE(2772), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2788), 1, + sym__predefined_attribute_designator, + STATE(2792), 1, + sym__attribute_designator, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 39, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [87174] = 8, + ACTIONS(4861), 1, + anon_sym_LPAREN, + ACTIONS(5061), 1, + aux_sym_range_constraint_token1, + ACTIONS(5063), 1, + anon_sym_SQUOTE, + STATE(1930), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2247), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [87246] = 23, + ACTIONS(5065), 1, + aux_sym_reduction_token1, + ACTIONS(5067), 1, + aux_sym_reduction_token2, + ACTIONS(5069), 1, + aux_sym_reduction_token3, + ACTIONS(5073), 1, + aux_sym_reduction_token6, + ACTIONS(5075), 1, + anon_sym_PLUS, + ACTIONS(5077), 1, + anon_sym_DASH, + ACTIONS(5085), 1, + anon_sym_AMP, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5071), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5079), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5083), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5081), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4806), 14, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [87348] = 23, + ACTIONS(5065), 1, + aux_sym_reduction_token1, + ACTIONS(5067), 1, + aux_sym_reduction_token2, + ACTIONS(5069), 1, + aux_sym_reduction_token3, + ACTIONS(5073), 1, + aux_sym_reduction_token6, + ACTIONS(5075), 1, + anon_sym_PLUS, + ACTIONS(5077), 1, + anon_sym_DASH, + ACTIONS(5085), 1, + anon_sym_AMP, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5071), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5079), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5083), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5081), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4818), 14, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [87450] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(5093), 1, + anon_sym_RPAREN, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4614), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [87570] = 18, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [87662] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(5113), 1, + anon_sym_RPAREN, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4614), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [87782] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(5115), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [87902] = 18, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [87994] = 11, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [88072] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(5117), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [88192] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2202), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2204), 46, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [88254] = 17, + ACTIONS(5075), 1, + anon_sym_PLUS, + ACTIONS(5077), 1, + anon_sym_DASH, + ACTIONS(5085), 1, + anon_sym_AMP, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5083), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 28, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [88344] = 18, + ACTIONS(5033), 1, + anon_sym_PLUS, + ACTIONS(5035), 1, + anon_sym_DASH, + ACTIONS(5043), 1, + anon_sym_AMP, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5037), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5041), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5039), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 20, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [88436] = 13, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [88518] = 18, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [88610] = 18, + ACTIONS(5033), 1, + anon_sym_PLUS, + ACTIONS(5035), 1, + anon_sym_DASH, + ACTIONS(5043), 1, + anon_sym_AMP, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5037), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5041), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5039), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 20, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [88702] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2179), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2181), 46, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [88764] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2175), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2177), 46, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [88826] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4991), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [88944] = 13, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [89026] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(5119), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [89146] = 13, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [89228] = 17, + ACTIONS(5033), 1, + anon_sym_PLUS, + ACTIONS(5035), 1, + anon_sym_DASH, + ACTIONS(5043), 1, + anon_sym_AMP, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5041), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 28, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [89318] = 13, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [89400] = 11, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [89478] = 18, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [89570] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4656), 1, + anon_sym_SQUOTE, + STATE(1976), 1, + sym__predefined_attribute_designator, + STATE(1980), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2018), 1, + sym__attribute_designator, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [89646] = 17, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 28, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [89736] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(5021), 1, + anon_sym_SQUOTE, + STATE(1976), 1, + sym__predefined_attribute_designator, + STATE(1980), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2018), 1, + sym__attribute_designator, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [89812] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(5121), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [89932] = 13, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [90014] = 18, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [90106] = 11, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [90184] = 10, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [90260] = 18, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [90352] = 5, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(5031), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 44, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [90418] = 10, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [90494] = 11, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [90572] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1934), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1936), 46, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_COLON, + anon_sym_SQUOTE, + anon_sym_DOT2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [90634] = 13, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [90716] = 4, + ACTIONS(2242), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [90780] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(5123), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [90900] = 10, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [90976] = 10, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [91052] = 11, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5025), 1, + anon_sym_DOT, + ACTIONS(5027), 1, + anon_sym_SQUOTE, + ACTIONS(5125), 1, + anon_sym_LPAREN, + STATE(2801), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2807), 1, + sym__predefined_attribute_designator, + STATE(2811), 1, + sym__attribute_designator, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 38, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [91130] = 11, + ACTIONS(4717), 1, + anon_sym_SQUOTE, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5025), 1, + anon_sym_DOT, + ACTIONS(5127), 1, + anon_sym_LPAREN, + STATE(2784), 1, + sym__attribute_designator, + STATE(2827), 1, + sym__predefined_attribute_designator, + STATE(2828), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 38, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [91208] = 18, + ACTIONS(5075), 1, + anon_sym_PLUS, + ACTIONS(5077), 1, + anon_sym_DASH, + ACTIONS(5085), 1, + anon_sym_AMP, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5079), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5083), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5081), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [91300] = 10, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [91376] = 10, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [91452] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2238), 46, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [91514] = 13, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [91596] = 18, + ACTIONS(5075), 1, + anon_sym_PLUS, + ACTIONS(5077), 1, + anon_sym_DASH, + ACTIONS(5085), 1, + anon_sym_AMP, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5079), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5083), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5081), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [91688] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2179), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2181), 45, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [91750] = 21, + ACTIONS(5069), 1, + aux_sym_reduction_token3, + ACTIONS(5073), 1, + aux_sym_reduction_token6, + ACTIONS(5075), 1, + anon_sym_PLUS, + ACTIONS(5077), 1, + anon_sym_DASH, + ACTIONS(5085), 1, + anon_sym_AMP, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5071), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5079), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5083), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5081), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(5129), 16, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [91848] = 31, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(5017), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [91966] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2240), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2242), 46, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [92028] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2175), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2177), 45, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [92090] = 30, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5015), 5, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [92206] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4903), 1, + anon_sym_DOT, + STATE(2007), 1, + sym__attribute_designator, + STATE(2008), 1, + sym__predefined_attribute_designator, + STATE(2009), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [92282] = 30, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5029), 5, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [92398] = 18, + ACTIONS(5075), 1, + anon_sym_PLUS, + ACTIONS(5077), 1, + anon_sym_DASH, + ACTIONS(5085), 1, + anon_sym_AMP, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5079), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5083), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5081), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [92490] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4746), 1, + aux_sym_reduction_token1, + ACTIONS(4748), 1, + aux_sym_reduction_token2, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(5131), 1, + anon_sym_SEMI, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4242), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4244), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4249), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4774), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4778), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4782), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [92610] = 18, + ACTIONS(5075), 1, + anon_sym_PLUS, + ACTIONS(5077), 1, + anon_sym_DASH, + ACTIONS(5085), 1, + anon_sym_AMP, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5079), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5083), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5081), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [92702] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5019), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4646), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4648), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [92780] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(5001), 1, + anon_sym_RPAREN, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4614), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [92900] = 13, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [92982] = 11, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [93060] = 11, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [93138] = 18, + ACTIONS(5075), 1, + anon_sym_PLUS, + ACTIONS(5077), 1, + anon_sym_DASH, + ACTIONS(5085), 1, + anon_sym_AMP, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5079), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5083), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5081), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [93230] = 23, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4818), 14, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [93332] = 8, + ACTIONS(5143), 1, + anon_sym_LPAREN, + ACTIONS(5145), 1, + aux_sym_range_constraint_token1, + ACTIONS(5147), 1, + anon_sym_SQUOTE, + STATE(2458), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2964), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [93404] = 18, + ACTIONS(5075), 1, + anon_sym_PLUS, + ACTIONS(5077), 1, + anon_sym_DASH, + ACTIONS(5085), 1, + anon_sym_AMP, + ACTIONS(5091), 1, + anon_sym_STAR_STAR, + STATE(2928), 1, + aux_sym_term_repeat1, + STATE(2930), 1, + aux_sym_concatenation_repeat1, + STATE(2933), 1, + aux_sym_simple_expression_repeat1, + STATE(2935), 1, + aux_sym_logical_expression_repeat4, + STATE(2937), 1, + aux_sym_logical_expression_repeat3, + STATE(2940), 1, + aux_sym_logical_expression_repeat2, + STATE(2944), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5079), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5083), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5081), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 20, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [93496] = 23, + ACTIONS(5033), 1, + anon_sym_PLUS, + ACTIONS(5035), 1, + anon_sym_DASH, + ACTIONS(5043), 1, + anon_sym_AMP, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + ACTIONS(5149), 1, + aux_sym_reduction_token1, + ACTIONS(5151), 1, + aux_sym_reduction_token2, + ACTIONS(5153), 1, + aux_sym_reduction_token3, + ACTIONS(5157), 1, + aux_sym_reduction_token6, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5155), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5037), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5041), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5039), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4806), 14, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [93598] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(5159), 1, + anon_sym_RPAREN, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4614), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [93718] = 13, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [93800] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5025), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4646), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4648), 38, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [93878] = 21, + ACTIONS(5033), 1, + anon_sym_PLUS, + ACTIONS(5035), 1, + anon_sym_DASH, + ACTIONS(5043), 1, + anon_sym_AMP, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + ACTIONS(5153), 1, + aux_sym_reduction_token3, + ACTIONS(5157), 1, + aux_sym_reduction_token6, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5155), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5037), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5041), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5039), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(5129), 16, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [93976] = 23, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4806), 14, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [94078] = 8, + ACTIONS(4838), 1, + anon_sym_LPAREN, + ACTIONS(4973), 1, + anon_sym_SQUOTE, + ACTIONS(5161), 1, + aux_sym_range_constraint_token1, + STATE(1924), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2303), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [94150] = 32, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4945), 1, + aux_sym_reduction_token1, + ACTIONS(4947), 1, + aux_sym_reduction_token2, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(5163), 1, + anon_sym_RPAREN, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + STATE(4212), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4330), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4335), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4612), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4614), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4620), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [94270] = 23, + ACTIONS(5033), 1, + anon_sym_PLUS, + ACTIONS(5035), 1, + anon_sym_DASH, + ACTIONS(5043), 1, + anon_sym_AMP, + ACTIONS(5049), 1, + anon_sym_STAR_STAR, + ACTIONS(5149), 1, + aux_sym_reduction_token1, + ACTIONS(5151), 1, + aux_sym_reduction_token2, + ACTIONS(5153), 1, + aux_sym_reduction_token3, + ACTIONS(5157), 1, + aux_sym_reduction_token6, + STATE(2923), 1, + aux_sym_logical_expression_repeat1, + STATE(2925), 1, + aux_sym_logical_expression_repeat2, + STATE(2932), 1, + aux_sym_logical_expression_repeat3, + STATE(2936), 1, + aux_sym_logical_expression_repeat4, + STATE(2939), 1, + aux_sym_simple_expression_repeat1, + STATE(2941), 1, + aux_sym_concatenation_repeat1, + STATE(2943), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5155), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5037), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5041), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5039), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4818), 14, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [94372] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2828), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2826), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [94433] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3974), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3972), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [94494] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2616), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2614), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [94555] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2612), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2610), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [94616] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4470), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4468), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [94677] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2612), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2610), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [94738] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2406), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2404), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [94799] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2564), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2562), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [94860] = 10, + ACTIONS(4717), 1, + anon_sym_SQUOTE, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5165), 1, + anon_sym_LPAREN, + STATE(2772), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2788), 1, + sym__predefined_attribute_designator, + STATE(2792), 1, + sym__attribute_designator, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 38, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [94935] = 4, + ACTIONS(5167), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2214), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2216), 43, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [94998] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2220), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2222), 44, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [95059] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3182), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3184), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [95120] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3200), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [95181] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [95242] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2564), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2562), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [95303] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4060), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4058), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [95364] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3658), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3656), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [95425] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4006), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4004), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [95486] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3662), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3660), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [95547] = 7, + ACTIONS(5143), 1, + anon_sym_LPAREN, + ACTIONS(5145), 1, + aux_sym_range_constraint_token1, + STATE(2458), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2959), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [95616] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2560), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2558), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [95677] = 7, + ACTIONS(4838), 1, + anon_sym_LPAREN, + ACTIONS(5161), 1, + aux_sym_range_constraint_token1, + STATE(1924), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2382), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [95746] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3674), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3672), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [95807] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3546), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3544), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [95868] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3682), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3680), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [95929] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3786), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3784), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [95990] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3854), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3852), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [96051] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3862), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3860), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [96112] = 11, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(5169), 1, + anon_sym_DOT, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 37, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [96189] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3952), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3954), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [96250] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3198), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3200), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [96311] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2538), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2536), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [96372] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2962), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2964), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [96433] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2406), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2404), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [96494] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2406), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2404), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [96555] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3316), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3314), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [96616] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3994), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3992), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [96677] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3030), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3032), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [96738] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2962), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2964), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [96799] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4538), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4536), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [96860] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3406), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3404), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [96921] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3414), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3412), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [96982] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2430), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2428), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97043] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2436), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97104] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2436), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97165] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2568), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2566), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97226] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3750), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3748), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97287] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3458), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3456), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97348] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2436), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97409] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2600), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2598), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97470] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2608), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2606), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97531] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2632), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2630), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97592] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2936), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2934), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97653] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2936), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2934), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97714] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4268), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4270), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [97775] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3030), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3032), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [97836] = 6, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(5171), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5323), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + ACTIONS(2139), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2137), 43, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97903] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3742), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3740), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [97964] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5027), 1, + anon_sym_SQUOTE, + ACTIONS(5125), 1, + anon_sym_LPAREN, + STATE(2858), 1, + sym__attribute_designator, + STATE(2860), 1, + sym__predefined_attribute_designator, + STATE(2861), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 38, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [98039] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3766), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3764), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [98100] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3806), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3804), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [98161] = 4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5173), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5177), 18, + aux_sym_entity_declaration_token3, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + ACTIONS(5175), 29, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_open_token1, + aux_sym_default_token1, + aux_sym_component_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [98224] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3384), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3386), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [98285] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5025), 1, + anon_sym_DOT, + ACTIONS(5027), 1, + anon_sym_SQUOTE, + STATE(2830), 1, + sym__attribute_designator, + STATE(2844), 1, + sym__predefined_attribute_designator, + STATE(2845), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 38, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [98360] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2960), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2958), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [98421] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2976), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2974), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [98482] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2980), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2978), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [98543] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2980), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2978), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [98604] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2656), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2654), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [98665] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3234), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [98726] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2976), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2974), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [98787] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2976), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2974), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [98848] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2548), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2546), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [98909] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3384), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3386), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [98970] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3182), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3184), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [99031] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4396), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4398), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [99092] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2980), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2978), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [99153] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3092), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3090), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [99214] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [99275] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4462), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4460), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [99336] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4476), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4478), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [99397] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4230), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4228), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [99458] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4480), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4482), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [99519] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3012), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3010), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [99580] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4308), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [99641] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4354), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4352), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [99702] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3798), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3796), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [99763] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4358), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4356), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [99824] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2640), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2638), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [99885] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2644), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2642), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [99946] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3818), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3816), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [100007] = 10, + ACTIONS(4656), 1, + anon_sym_SQUOTE, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5179), 1, + anon_sym_LPAREN, + STATE(1976), 1, + sym__predefined_attribute_designator, + STATE(1980), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2018), 1, + sym__attribute_designator, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [100082] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5021), 1, + anon_sym_SQUOTE, + ACTIONS(5053), 1, + anon_sym_LPAREN, + STATE(2037), 1, + sym__attribute_designator, + STATE(2038), 1, + sym__predefined_attribute_designator, + STATE(2043), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [100157] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2992), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2990), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [100218] = 7, + ACTIONS(4861), 1, + anon_sym_LPAREN, + ACTIONS(5061), 1, + aux_sym_range_constraint_token1, + STATE(1930), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2329), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [100287] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3516), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3518), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [100348] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3532), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3534), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [100409] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4262), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4260), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [100470] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4002), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4000), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [100531] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3536), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3538), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [100592] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3622), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3620), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [100653] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3618), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3616), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [100714] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3374), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3372), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [100775] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3540), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3542), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [100836] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4268), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4270), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [100897] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2750), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2752), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [100958] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3140), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3138), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101019] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4370), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4368), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101080] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3842), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3840), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101141] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2450), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2448), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101202] = 18, + ACTIONS(1994), 1, + aux_sym_range_constraint_token1, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(5181), 1, + anon_sym_LPAREN, + ACTIONS(5184), 1, + anon_sym_RPAREN, + ACTIONS(5186), 1, + anon_sym_COMMA, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + STATE(5381), 1, + aux_sym_group_constituent_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [101293] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3930), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3928), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101354] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3442), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3440), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101415] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2656), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2654), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101476] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3072), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3070), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101537] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3068), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3066), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101598] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2992), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2990), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101659] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2800), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2798), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101720] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2956), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2954), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101781] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2972), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2970), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101842] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2952), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2950), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101903] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3188), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3186), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [101964] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5019), 1, + anon_sym_DOT, + ACTIONS(5021), 1, + anon_sym_SQUOTE, + STATE(1983), 1, + sym__attribute_designator, + STATE(2019), 1, + sym__predefined_attribute_designator, + STATE(2023), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [102039] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2872), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2870), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102100] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4418), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4416), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102161] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2856), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2854), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102222] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3036), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3034), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102283] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2856), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2854), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102344] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3024), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3022), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102405] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3020), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3018), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102466] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2808), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2806), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102527] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2548), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2546), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102588] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4466), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4464), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102649] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3484), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102710] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2648), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2646), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102771] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2548), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2546), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102832] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3952), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3954), 45, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [102893] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2514), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2512), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [102954] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2664), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2662), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103015] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2644), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2642), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103076] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2446), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2444), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103137] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3482), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3480), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103198] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4396), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4398), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [103259] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3320), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3318), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103320] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2750), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2752), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [103381] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3212), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3210), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103442] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2832), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2830), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103503] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2832), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2830), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103564] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3516), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3518), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [103625] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2772), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2770), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103686] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2820), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2818), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103747] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2808), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2806), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103808] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2808), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2806), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103869] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3532), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3534), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [103930] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2776), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2774), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [103991] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2644), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2642), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [104052] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [104113] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2804), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2802), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [104174] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3540), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3542), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [104235] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2796), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2794), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [104296] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2784), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2782), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [104357] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3536), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3538), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [104418] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2656), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2654), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [104479] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2784), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2782), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [104540] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2780), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2778), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [104601] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4480), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4482), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [104662] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2672), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2670), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [104723] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4476), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4478), 44, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [104784] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2676), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2674), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [104845] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2676), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2674), 47, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [104906] = 18, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 18, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [104996] = 10, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [105070] = 18, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 18, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [105160] = 5, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + STATE(2468), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [105224] = 6, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + STATE(2467), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [105290] = 5, + ACTIONS(4736), 1, + anon_sym_AMP, + STATE(2466), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [105354] = 6, + STATE(2465), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 41, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [105420] = 5, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + STATE(2456), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [105484] = 5, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + STATE(2447), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [105548] = 16, + ACTIONS(1994), 1, + aux_sym_range_constraint_token1, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(5181), 1, + anon_sym_LPAREN, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2104), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [105634] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(5169), 1, + anon_sym_DOT, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 36, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [105710] = 11, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4935), 1, + anon_sym_LPAREN, + ACTIONS(5169), 1, + anon_sym_DOT, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 36, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [105786] = 11, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4863), 1, + anon_sym_LPAREN, + ACTIONS(5169), 1, + anon_sym_DOT, + STATE(2001), 1, + sym__attribute_designator, + STATE(2002), 1, + sym__predefined_attribute_designator, + STATE(2003), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 36, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [105862] = 5, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(5204), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 41, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [105926] = 16, + ACTIONS(1994), 1, + aux_sym_range_constraint_token1, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(5181), 1, + anon_sym_LPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2104), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [106012] = 5, + ACTIONS(5208), 1, + aux_sym_reduction_token3, + STATE(2419), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [106076] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3384), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3386), 43, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [106136] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2746), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2748), 43, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [106196] = 13, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(5213), 1, + anon_sym_DOT, + ACTIONS(5215), 1, + anon_sym_SQUOTE, + STATE(3213), 1, + sym__attribute_designator, + STATE(3214), 1, + sym__predefined_attribute_designator, + STATE(3215), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(1992), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 30, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [106276] = 10, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 37, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [106350] = 11, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [106426] = 13, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 34, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [106506] = 17, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 26, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [106594] = 18, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 18, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [106684] = 13, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 34, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [106764] = 18, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 18, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [106854] = 18, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 18, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [106944] = 18, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 18, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [107034] = 18, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 18, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [107124] = 18, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 18, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [107214] = 18, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 18, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [107304] = 10, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 37, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [107378] = 5, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + STATE(2419), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [107442] = 18, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 18, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [107532] = 18, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 18, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [107622] = 17, + ACTIONS(1994), 1, + aux_sym_range_constraint_token1, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2104), 1, + anon_sym_COMMA, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(5181), 1, + anon_sym_LPAREN, + ACTIONS(5218), 1, + anon_sym_RPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [107710] = 13, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 34, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [107790] = 18, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 18, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [107880] = 17, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 26, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [107968] = 8, + ACTIONS(4838), 1, + anon_sym_LPAREN, + ACTIONS(4973), 1, + anon_sym_SQUOTE, + ACTIONS(5222), 1, + aux_sym_range_constraint_token1, + STATE(1924), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2303), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 37, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [108038] = 13, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 34, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [108118] = 5, + ACTIONS(5228), 1, + aux_sym_PSL_Inherit_Spec_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2445), 2, + sym_PSL_Inherit_Spec, + aux_sym_PSL_Verification_Unit_Body_repeat1, + ACTIONS(5224), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5226), 43, + aux_sym_entity_declaration_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [108182] = 11, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [108258] = 5, + ACTIONS(5233), 1, + aux_sym_reduction_token1, + STATE(2447), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [108322] = 23, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(5238), 1, + aux_sym_reduction_token1, + ACTIONS(5240), 1, + aux_sym_reduction_token2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4806), 12, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [108422] = 23, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + ACTIONS(4766), 1, + anon_sym_AMP, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + ACTIONS(5238), 1, + aux_sym_reduction_token1, + ACTIONS(5240), 1, + aux_sym_reduction_token2, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4752), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4760), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4764), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4762), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4818), 12, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [108522] = 11, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [108598] = 13, + ACTIONS(4772), 1, + anon_sym_STAR_STAR, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 34, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [108678] = 10, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [108752] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5169), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4646), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4648), 36, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [108828] = 24, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4943), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [108930] = 24, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4959), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [109032] = 5, + ACTIONS(5246), 1, + aux_sym_reduction_token2, + STATE(2456), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [109096] = 8, + ACTIONS(4838), 1, + anon_sym_LPAREN, + ACTIONS(4973), 1, + anon_sym_SQUOTE, + ACTIONS(5251), 1, + aux_sym_range_constraint_token1, + STATE(1924), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2303), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [109166] = 7, + ACTIONS(5143), 1, + anon_sym_LPAREN, + STATE(2458), 1, + sym_index_constraint, + STATE(2956), 1, + sym__array_element_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2957), 2, + sym_array_constraint, + sym_record_constraint, + ACTIONS(2043), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2045), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [109234] = 23, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(5253), 1, + aux_sym_reduction_token1, + ACTIONS(5255), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4806), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [109334] = 23, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(5253), 1, + aux_sym_reduction_token1, + ACTIONS(5255), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4818), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [109434] = 10, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [109508] = 11, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [109584] = 10, + STATE(3008), 1, + aux_sym_logical_expression_repeat1, + STATE(3009), 1, + aux_sym_logical_expression_repeat2, + STATE(3011), 1, + aux_sym_logical_expression_repeat3, + STATE(3012), 1, + aux_sym_logical_expression_repeat4, + STATE(3013), 1, + aux_sym_simple_expression_repeat1, + STATE(3014), 1, + aux_sym_concatenation_repeat1, + STATE(3015), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 37, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [109658] = 13, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 34, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [109738] = 6, + STATE(2465), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5261), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5264), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 41, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [109804] = 5, + ACTIONS(5271), 1, + anon_sym_AMP, + STATE(2466), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [109868] = 6, + ACTIONS(5276), 1, + anon_sym_PLUS, + ACTIONS(5279), 1, + anon_sym_DASH, + STATE(2467), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [109934] = 5, + ACTIONS(5286), 1, + aux_sym_reduction_token6, + STATE(2468), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 42, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__report_token1, + aux_sym__severity_token1, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [109998] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110057] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(5181), 1, + anon_sym_LPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1994), 3, + aux_sym_range_constraint_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [110138] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2924), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2922), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110197] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2924), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2922), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110256] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2924), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2922), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110315] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2924), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2922), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110374] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2916), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2914), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110433] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2916), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2914), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110492] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2916), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2914), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110551] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2916), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2914), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110610] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3614), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3612), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110669] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3650), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3648), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110728] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2418), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2416), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110787] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3650), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3648), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110846] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3666), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3664), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110905] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3670), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3668), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [110964] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3670), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3668), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111023] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2410), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2408), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111082] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3614), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3612), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111141] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3610), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3608), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111200] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(5181), 1, + anon_sym_LPAREN, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1994), 3, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [111281] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2346), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2344), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111340] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3450), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3448), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111399] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3602), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3600), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111458] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2342), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2340), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111517] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2708), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2706), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111576] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3702), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3700), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111635] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2254), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2252), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111694] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111753] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [111812] = 5, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + STATE(2696), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [111875] = 5, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + STATE(2695), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [111938] = 5, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + STATE(2694), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [112001] = 5, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2693), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [112064] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3602), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3600), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112123] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2792), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2790), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112182] = 6, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + STATE(2692), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 7, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [112247] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3454), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3452), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112306] = 5, + ACTIONS(4901), 1, + anon_sym_AMP, + STATE(2691), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [112369] = 6, + STATE(2690), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 39, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [112434] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3702), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3700), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112493] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3770), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3768), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112552] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112611] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112670] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112729] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4554), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4552), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112788] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3770), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3768), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112847] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3770), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3768), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112906] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3770), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3768), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [112965] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113024] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113083] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113142] = 7, + ACTIONS(4838), 1, + anon_sym_LPAREN, + ACTIONS(5251), 1, + aux_sym_range_constraint_token1, + STATE(1924), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2382), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [113209] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113268] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113327] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113386] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113445] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113504] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2306), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2304), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113563] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113622] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113681] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3304), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3302), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113740] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3232), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3230), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113799] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3232), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3230), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113858] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2760), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2758), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113917] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2760), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2758), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [113976] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2760), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2758), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114035] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2760), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2758), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114094] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114153] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114212] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4290), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4288), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114271] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114330] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114389] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114448] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114507] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114566] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2256), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114625] = 11, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(5291), 1, + anon_sym_DOT, + ACTIONS(5293), 1, + anon_sym_SQUOTE, + STATE(1974), 1, + sym__attribute_designator, + STATE(2070), 1, + sym__predefined_attribute_designator, + STATE(2072), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 35, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [114700] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2302), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2300), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114759] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2298), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2296), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114818] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4294), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4292), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114877] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2310), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2308), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114936] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2286), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2284), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [114995] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2426), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2424), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115054] = 10, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5169), 1, + anon_sym_DOT, + STATE(2007), 1, + sym__attribute_designator, + STATE(2008), 1, + sym__predefined_attribute_designator, + STATE(2009), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 36, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [115127] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2282), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2280), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115186] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2744), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2742), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115245] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3758), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3756), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115304] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3774), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3772), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115363] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4454), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4452), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115422] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4306), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4304), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115481] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4318), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4316), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115540] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2736), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2734), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115599] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4518), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4516), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115658] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4502), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4500), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115717] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3446), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3444), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115776] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2278), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2276), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115835] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2724), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2722), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115894] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3790), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3788), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [115953] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3802), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3800), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116012] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3810), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3808), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116071] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2274), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2272), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116130] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4402), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4400), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116189] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4422), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4420), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116248] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4434), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4432), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116307] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4278), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4276), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116366] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4286), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4284), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116425] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4286), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4284), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116484] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4458), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4456), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116543] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4458), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4456), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116602] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116661] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2454), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2452), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116720] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116779] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4138), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4136), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116838] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116897] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [116956] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4018), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4016), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117015] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4458), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4456), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117074] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4322), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4320), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117133] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3894), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3892), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117192] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4458), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4456), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117251] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3998), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3996), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117310] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3894), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3892), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117369] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2716), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2714), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117428] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3894), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3892), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117487] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4334), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4332), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117546] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117605] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2700), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2698), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117664] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4627), 1, + anon_sym_SQUOTE, + ACTIONS(4935), 1, + anon_sym_LPAREN, + ACTIONS(5169), 1, + anon_sym_DOT, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 35, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [117739] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4863), 1, + anon_sym_LPAREN, + ACTIONS(5169), 1, + anon_sym_DOT, + STATE(2001), 1, + sym__attribute_designator, + STATE(2002), 1, + sym__predefined_attribute_designator, + STATE(2003), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 35, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [117814] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3970), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3968), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117873] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117932] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3894), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3892), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [117991] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2692), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2690), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118050] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118109] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3966), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3964), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118168] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118227] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118286] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2604), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2602), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118345] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2588), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2586), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118404] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118463] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2212), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2210), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118522] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3950), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3948), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118581] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118640] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118699] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118758] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4518), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4516), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118817] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4518), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4516), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118876] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4518), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4516), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118935] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [118994] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4474), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4472), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119053] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119112] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4436), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119171] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4438), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4436), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119230] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4118), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4116), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119289] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3950), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3948), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119348] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4118), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4116), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119407] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119466] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119525] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119584] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119643] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119702] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4138), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4136), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119761] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3922), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3920), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119820] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4154), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4152), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119879] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4138), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4136), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119938] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3902), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3900), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [119997] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3890), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3888), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120056] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120115] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3878), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3876), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120174] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3874), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3872), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120233] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3870), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3868), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120292] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3858), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3856), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120351] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4138), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4136), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120410] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3850), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3848), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120469] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3846), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3844), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120528] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120587] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3778), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3776), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120646] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4118), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4116), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120705] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2466), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2464), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120764] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3754), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3752), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120823] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2226), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2224), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120882] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4154), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4152), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [120941] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4210), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4208), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121000] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121059] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121118] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4118), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4116), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121177] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3978), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3976), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121236] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121295] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121354] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121413] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4026), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4024), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121472] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3678), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3676), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121531] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3678), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3676), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121590] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3678), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3676), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121649] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3678), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3676), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121708] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3978), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3976), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121767] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3978), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3976), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121826] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3978), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3976), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121885] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4326), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4324), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [121944] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122003] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4326), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4324), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122062] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122121] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122180] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122239] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2470), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2468), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122298] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2394), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2392), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122357] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2426), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2424), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122416] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2390), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2388), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122475] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2208), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2206), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122534] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4484), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122593] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3288), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3286), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122652] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3272), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3270), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122711] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3268), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3266), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122770] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122829] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2584), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2582), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122888] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2498), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2496), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [122947] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [123006] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2844), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2842), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [123065] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2506), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2504), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [123124] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2290), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2288), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [123183] = 6, + STATE(2690), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5295), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5298), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 39, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [123248] = 5, + ACTIONS(5301), 1, + anon_sym_AMP, + STATE(2691), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [123311] = 6, + ACTIONS(5304), 1, + anon_sym_PLUS, + ACTIONS(5307), 1, + anon_sym_DASH, + STATE(2692), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 7, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [123376] = 5, + ACTIONS(5310), 1, + aux_sym_reduction_token6, + STATE(2693), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [123439] = 5, + ACTIONS(5313), 1, + aux_sym_reduction_token3, + STATE(2694), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [123502] = 5, + ACTIONS(5316), 1, + aux_sym_reduction_token2, + STATE(2695), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [123565] = 5, + ACTIONS(5319), 1, + aux_sym_reduction_token1, + STATE(2696), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 40, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_GT_GT, + aux_sym_inertial_expression_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__after_token1, + aux_sym__when_clause_token1, + aux_sym_selected_waveform_assignment_token2, + aux_sym_if_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [123628] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [123687] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [123746] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [123805] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [123864] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3064), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3062), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [123923] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2880), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2878), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [123982] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2884), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2882), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124041] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2880), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2878), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124100] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2876), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2874), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124159] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2844), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2842), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124218] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2848), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2846), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124277] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4364), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124336] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4342), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4340), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124395] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4348), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124454] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4382), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4380), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124513] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2510), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2508), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124572] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2518), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2516), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124631] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4386), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4384), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124690] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4414), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4412), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124749] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2522), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2520), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124808] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3292), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3290), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124867] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2510), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2508), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124926] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4430), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4428), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [124985] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2526), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2524), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125044] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2534), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2532), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125103] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2544), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2542), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125162] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4256), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125221] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4390), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4388), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125280] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4364), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125339] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2580), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2578), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125398] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2556), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2554), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125457] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2004), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2006), 43, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [125516] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4406), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4404), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125575] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4410), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4408), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125634] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4364), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125693] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4258), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4256), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125752] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2234), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2232), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125811] = 7, + ACTIONS(4838), 1, + anon_sym_LPAREN, + ACTIONS(5222), 1, + aux_sym_range_constraint_token1, + STATE(1924), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2382), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 37, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [125878] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4510), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4508), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125937] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4498), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4496), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [125996] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4238), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4236), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [126055] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4526), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4524), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [126114] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4506), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4504), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [126173] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3710), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3708), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [126232] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4522), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4520), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [126291] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1934), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1936), 43, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [126350] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2334), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2332), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [126409] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2338), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2336), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [126468] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1988), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1990), 43, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [126527] = 30, + ACTIONS(767), 1, + anon_sym_LBRACK, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4616), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(5324), 1, + aux_sym_reduction_token1, + ACTIONS(5326), 1, + aux_sym_reduction_token2, + ACTIONS(5328), 1, + aux_sym_reduction_token3, + ACTIONS(5332), 1, + aux_sym_reduction_token6, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + STATE(4660), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5322), 2, + anon_sym_COMMA, + anon_sym_RBRACE, + ACTIONS(5330), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5352), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5354), 2, + anon_sym_COLON2, + anon_sym_SEMI2, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [126640] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4530), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4528), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [126699] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2348), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [126758] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4534), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4532), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [126817] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1944), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1946), 43, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [126876] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4546), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4544), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [126935] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1984), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1986), 43, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [126994] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2940), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2938), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127053] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2230), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2228), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127112] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2402), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2400), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127171] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1938), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1940), 43, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [127230] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4366), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4364), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127289] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2374), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2372), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127348] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2836), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2834), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127407] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2824), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2822), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127466] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2688), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2686), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127525] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2684), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2682), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127584] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2668), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2666), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127643] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2652), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2650), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127702] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2378), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2376), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127761] = 6, + ACTIONS(4833), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4836), 3, + anon_sym_BANG, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + ACTIONS(1934), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4830), 13, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(1936), 26, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym_PSL_Union_Expression_token1, + [127826] = 6, + ACTIONS(4878), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4836), 3, + anon_sym_BANG, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + ACTIONS(4883), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4875), 13, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(4881), 26, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym_PSL_Union_Expression_token1, + [127891] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4570), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4568), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [127950] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2386), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2384), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [128009] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2382), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2380), 45, + aux_sym_entity_declaration_token1, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [128068] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5356), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5358), 44, + aux_sym_entity_declaration_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_Inherit_Spec_token1, + [128126] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [128184] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [128242] = 21, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(5360), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [128336] = 11, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4977), 1, + anon_sym_SQUOTE, + ACTIONS(5051), 1, + anon_sym_LPAREN, + ACTIONS(5291), 1, + anon_sym_DOT, + STATE(1974), 1, + sym__attribute_designator, + STATE(2070), 1, + sym__predefined_attribute_designator, + STATE(2072), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 34, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [128410] = 11, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5053), 1, + anon_sym_LPAREN, + ACTIONS(5291), 1, + anon_sym_DOT, + ACTIONS(5293), 1, + anon_sym_SQUOTE, + STATE(2073), 1, + sym__attribute_designator, + STATE(2074), 1, + sym__predefined_attribute_designator, + STATE(2077), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 34, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [128484] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [128542] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [128600] = 18, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 16, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [128688] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2085), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2087), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [128746] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4867), 10, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + anon_sym_PIPE, + [128844] = 18, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 16, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [128932] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4977), 1, + anon_sym_SQUOTE, + STATE(1976), 1, + sym__predefined_attribute_designator, + STATE(1980), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2018), 1, + sym__attribute_designator, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 35, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [129004] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [129062] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5380), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5382), 44, + aux_sym_entity_declaration_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + aux_sym_PSL_Inherit_Spec_token1, + [129120] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(5169), 1, + anon_sym_DOT, + STATE(2007), 1, + sym__attribute_designator, + STATE(2008), 1, + sym__predefined_attribute_designator, + STATE(2009), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 35, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [129192] = 6, + STATE(2794), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4604), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4606), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [129256] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [129314] = 10, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 35, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [129386] = 8, + ACTIONS(4838), 1, + anon_sym_LPAREN, + ACTIONS(4973), 1, + anon_sym_SQUOTE, + ACTIONS(5384), 1, + aux_sym_range_constraint_token1, + STATE(1924), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2303), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 35, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [129454] = 10, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 35, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [129526] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [129584] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4959), 8, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [129684] = 6, + STATE(2794), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5398), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5401), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [129748] = 5, + ACTIONS(5404), 1, + anon_sym_AMP, + STATE(2795), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [129810] = 6, + ACTIONS(5407), 1, + anon_sym_PLUS, + ACTIONS(5410), 1, + anon_sym_DASH, + STATE(2796), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 7, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [129874] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4871), 10, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_physical_type_definition_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + anon_sym_PIPE, + [129972] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(5293), 1, + anon_sym_SQUOTE, + STATE(1976), 1, + sym__predefined_attribute_designator, + STATE(1980), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2018), 1, + sym__attribute_designator, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 35, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [130044] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2093), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2095), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [130102] = 5, + ACTIONS(5413), 1, + aux_sym_reduction_token6, + STATE(2800), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [130164] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [130222] = 10, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 35, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [130294] = 11, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [130368] = 13, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 32, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [130446] = 17, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 24, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [130532] = 18, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 16, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [130620] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [130678] = 13, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 32, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [130756] = 18, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 16, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [130844] = 18, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 16, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [130932] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [130990] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4871), 10, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_PIPE, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [131088] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4867), 10, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + anon_sym_EQ_GT, + anon_sym_GT_GT, + anon_sym_PIPE, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + anon_sym_RBRACE, + [131186] = 5, + ACTIONS(5416), 1, + aux_sym_reduction_token3, + STATE(2814), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [131248] = 18, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 16, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [131336] = 18, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 16, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [131424] = 18, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 16, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [131512] = 16, + ACTIONS(1994), 1, + aux_sym_range_constraint_token1, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(5181), 1, + anon_sym_LPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2104), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 24, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [131596] = 21, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(5419), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [131690] = 5, + ACTIONS(5421), 1, + aux_sym_reduction_token2, + STATE(2820), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [131752] = 8, + ACTIONS(4861), 1, + anon_sym_LPAREN, + ACTIONS(5063), 1, + anon_sym_SQUOTE, + ACTIONS(5424), 1, + aux_sym_range_constraint_token1, + STATE(1930), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2247), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 35, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [131820] = 21, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(5426), 12, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [131914] = 5, + ACTIONS(5428), 1, + aux_sym_reduction_token1, + STATE(2823), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [131976] = 10, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 35, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [132048] = 11, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [132122] = 13, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 32, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [132200] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [132258] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [132316] = 17, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 24, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [132402] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [132460] = 23, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4806), 10, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [132558] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2089), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2091), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [132616] = 4, + ACTIONS(2118), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2114), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2116), 41, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [132676] = 18, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 16, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [132764] = 13, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 32, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [132842] = 11, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5053), 1, + anon_sym_LPAREN, + ACTIONS(5291), 1, + anon_sym_DOT, + ACTIONS(5431), 1, + anon_sym_SQUOTE, + STATE(2073), 1, + sym__attribute_designator, + STATE(2074), 1, + sym__predefined_attribute_designator, + STATE(2077), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 34, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [132916] = 5, + ACTIONS(4961), 1, + aux_sym_reduction_token1, + STATE(2823), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [132978] = 5, + ACTIONS(4963), 1, + aux_sym_reduction_token2, + STATE(2820), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [133040] = 5, + ACTIONS(4586), 1, + aux_sym_reduction_token3, + STATE(2814), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [133102] = 5, + ACTIONS(4590), 1, + aux_sym_reduction_token6, + STATE(2800), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [133164] = 18, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 16, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [133252] = 6, + ACTIONS(4592), 1, + anon_sym_PLUS, + ACTIONS(4594), 1, + anon_sym_DASH, + STATE(2796), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 7, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [133316] = 5, + ACTIONS(4602), 1, + anon_sym_AMP, + STATE(2795), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [133378] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [133436] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [133494] = 18, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 16, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [133582] = 21, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(5426), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [133676] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2131), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2133), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [133734] = 18, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 16, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [133822] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2114), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2116), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [133880] = 10, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 35, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [133952] = 10, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 35, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [134024] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5291), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4646), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4648), 34, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [134098] = 13, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 32, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [134176] = 21, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(5419), 12, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [134270] = 13, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 32, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [134348] = 11, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [134422] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [134480] = 11, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5051), 1, + anon_sym_LPAREN, + ACTIONS(5291), 1, + anon_sym_DOT, + ACTIONS(5433), 1, + anon_sym_SQUOTE, + STATE(1974), 1, + sym__attribute_designator, + STATE(2070), 1, + sym__predefined_attribute_designator, + STATE(2072), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 34, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [134554] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [134612] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 42, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [134670] = 11, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [134744] = 23, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4818), 10, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [134842] = 21, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(5360), 12, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [134936] = 23, + ACTIONS(5324), 1, + aux_sym_reduction_token1, + ACTIONS(5326), 1, + aux_sym_reduction_token2, + ACTIONS(5328), 1, + aux_sym_reduction_token3, + ACTIONS(5332), 1, + aux_sym_reduction_token6, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5330), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4818), 10, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [135034] = 23, + ACTIONS(5324), 1, + aux_sym_reduction_token1, + ACTIONS(5326), 1, + aux_sym_reduction_token2, + ACTIONS(5328), 1, + aux_sym_reduction_token3, + ACTIONS(5332), 1, + aux_sym_reduction_token6, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5330), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4806), 10, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [135132] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4943), 8, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [135232] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5179), 1, + anon_sym_LPAREN, + ACTIONS(5433), 1, + anon_sym_SQUOTE, + STATE(1976), 1, + sym__predefined_attribute_designator, + STATE(1980), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2018), 1, + sym__attribute_designator, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 34, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [135303] = 15, + ACTIONS(1994), 1, + aux_sym_range_constraint_token1, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(5181), 1, + anon_sym_LPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2104), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [135384] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2163), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2165), 41, + anon_sym_SEMI, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [135441] = 7, + ACTIONS(4838), 1, + anon_sym_LPAREN, + ACTIONS(5384), 1, + aux_sym_range_constraint_token1, + STATE(1924), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2382), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 35, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [135506] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2200), 41, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [135563] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2732), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2730), 43, + aux_sym_entity_declaration_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [135620] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4134), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4132), 43, + aux_sym_entity_declaration_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [135677] = 6, + ACTIONS(5438), 1, + anon_sym_LPAREN, + STATE(6292), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3384), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5436), 16, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(3386), 23, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [135740] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + STATE(3646), 1, + sym_string_literal, + STATE(4590), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5912), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1886), 2, + sym__simple_name, + sym_selected_name, + STATE(4651), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(2031), 26, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [135819] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2171), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2173), 41, + anon_sym_SEMI, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [135876] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2167), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2169), 41, + anon_sym_SEMI, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [135933] = 7, + ACTIONS(4861), 1, + anon_sym_LPAREN, + ACTIONS(5424), 1, + aux_sym_range_constraint_token1, + STATE(1930), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2329), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 35, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [135998] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5291), 1, + anon_sym_DOT, + ACTIONS(5431), 1, + anon_sym_SQUOTE, + STATE(1983), 1, + sym__attribute_designator, + STATE(2019), 1, + sym__predefined_attribute_designator, + STATE(2023), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 34, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [136069] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3690), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3688), 43, + aux_sym_entity_declaration_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [136126] = 44, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(85), 1, + aux_sym_while_loop_token1, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(912), 1, + aux_sym_block_configuration_token1, + ACTIONS(922), 1, + aux_sym_if_statement_token1, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(5440), 1, + sym_basic_identifier, + ACTIONS(5442), 1, + aux_sym_return_token1, + ACTIONS(5444), 1, + aux_sym_null_token1, + ACTIONS(5446), 1, + aux_sym_wait_statement_token1, + ACTIONS(5448), 1, + aux_sym_assertion_statement_token1, + ACTIONS(5450), 1, + aux_sym_assertion_statement_token2, + ACTIONS(5452), 1, + aux_sym_selected_waveform_assignment_token1, + ACTIONS(5454), 1, + aux_sym_case_statement_token1, + ACTIONS(5456), 1, + aux_sym_loop_statement_token1, + ACTIONS(5458), 1, + aux_sym_next_statement_token1, + ACTIONS(5460), 1, + aux_sym_exit_statement_token1, + ACTIONS(5462), 1, + aux_sym_process_statement_token1, + ACTIONS(5464), 1, + aux_sym_PSL_Assume_Directive_token1, + ACTIONS(5466), 1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + ACTIONS(5468), 1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5470), 1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + ACTIONS(5472), 1, + aux_sym_PSL_Cover_Directive_token1, + ACTIONS(5474), 1, + aux_sym_PSL_Fairness_Directive_token1, + ACTIONS(5476), 1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(4708), 1, + sym_if, + STATE(5267), 1, + sym_slice_name, + STATE(5388), 1, + sym__report, + STATE(5779), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4346), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(7173), 2, + sym_while_loop, + sym_for_loop, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [136265] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2490), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2488), 43, + aux_sym_entity_declaration_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_open_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + anon_sym_LT_LT, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + anon_sym_RBRACE, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [136322] = 5, + ACTIONS(5025), 1, + anon_sym_DOT, + ACTIONS(5478), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [136383] = 13, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(2194), 1, + anon_sym_DOT, + ACTIONS(4622), 1, + anon_sym_LBRACK, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 28, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [136460] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2185), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2187), 41, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_DOT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [136517] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5291), 1, + anon_sym_DOT, + ACTIONS(5293), 1, + anon_sym_SQUOTE, + STATE(1983), 1, + sym__attribute_designator, + STATE(2019), 1, + sym__predefined_attribute_designator, + STATE(2023), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 34, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [136588] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(4977), 1, + anon_sym_SQUOTE, + ACTIONS(5179), 1, + anon_sym_LPAREN, + STATE(1976), 1, + sym__predefined_attribute_designator, + STATE(1980), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2018), 1, + sym__attribute_designator, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 34, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [136659] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5053), 1, + anon_sym_LPAREN, + ACTIONS(5293), 1, + anon_sym_SQUOTE, + STATE(2037), 1, + sym__attribute_designator, + STATE(2038), 1, + sym__predefined_attribute_designator, + STATE(2043), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 34, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [136730] = 5, + ACTIONS(5019), 1, + anon_sym_DOT, + ACTIONS(5480), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [136791] = 5, + ACTIONS(5482), 1, + aux_sym_reduction_token2, + STATE(2891), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [136851] = 5, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + STATE(2945), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [136911] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2004), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2006), 37, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [136967] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1938), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1940), 37, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [137023] = 5, + ACTIONS(5485), 1, + anon_sym_AMP, + STATE(2895), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [137083] = 24, + ACTIONS(5324), 1, + aux_sym_reduction_token1, + ACTIONS(5326), 1, + aux_sym_reduction_token2, + ACTIONS(5328), 1, + aux_sym_reduction_token3, + ACTIONS(5332), 1, + aux_sym_reduction_token6, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5330), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5352), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4959), 6, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [137181] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1984), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1986), 37, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [137237] = 6, + ACTIONS(5488), 1, + anon_sym_PLUS, + ACTIONS(5491), 1, + anon_sym_DASH, + STATE(2898), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [137299] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4863), 1, + anon_sym_LPAREN, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(4980), 1, + anon_sym_SQUOTE, + STATE(2001), 1, + sym__attribute_designator, + STATE(2002), 1, + sym__predefined_attribute_designator, + STATE(2003), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 32, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + [137371] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(4935), 1, + anon_sym_LPAREN, + ACTIONS(4982), 1, + anon_sym_SQUOTE, + STATE(1996), 1, + sym__attribute_designator, + STATE(1998), 1, + sym__predefined_attribute_designator, + STATE(1999), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 32, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + [137443] = 4, + ACTIONS(2242), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [137501] = 24, + ACTIONS(5324), 1, + aux_sym_reduction_token1, + ACTIONS(5326), 1, + aux_sym_reduction_token2, + ACTIONS(5328), 1, + aux_sym_reduction_token3, + ACTIONS(5332), 1, + aux_sym_reduction_token6, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5330), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5352), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4943), 6, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [137599] = 5, + ACTIONS(5494), 1, + aux_sym_reduction_token6, + STATE(2903), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [137659] = 6, + STATE(2904), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5497), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5500), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [137721] = 5, + ACTIONS(5503), 1, + aux_sym_reduction_token3, + STATE(2905), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [137781] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1944), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1946), 37, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [137837] = 13, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 27, + anon_sym_RPAREN, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [137913] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1988), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1990), 37, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [137969] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1934), 10, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1936), 37, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + aux_sym_string_literal_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [138025] = 40, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(29), 1, + aux_sym_open_token1, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(311), 1, + aux_sym_entity_declaration_token1, + ACTIONS(318), 1, + aux_sym_configuration_declaration_token1, + ACTIONS(370), 1, + aux_sym_if_statement_token1, + ACTIONS(1211), 1, + aux_sym_component_declaration_token1, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(5448), 1, + aux_sym_assertion_statement_token1, + ACTIONS(5462), 1, + aux_sym_process_statement_token1, + ACTIONS(5464), 1, + aux_sym_PSL_Assume_Directive_token1, + ACTIONS(5466), 1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + ACTIONS(5468), 1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5470), 1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + ACTIONS(5472), 1, + aux_sym_PSL_Cover_Directive_token1, + ACTIONS(5474), 1, + aux_sym_PSL_Fairness_Directive_token1, + ACTIONS(5476), 1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + ACTIONS(5506), 1, + sym_basic_identifier, + ACTIONS(5508), 1, + aux_sym_block_configuration_token1, + ACTIONS(5510), 1, + aux_sym_assertion_statement_token2, + ACTIONS(5512), 1, + aux_sym_selected_waveform_assignment_token1, + ACTIONS(5514), 1, + aux_sym_case_statement_token1, + ACTIONS(5516), 1, + aux_sym_block_statement_token1, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(4709), 1, + sym_if_generate, + STATE(5267), 1, + sym_slice_name, + STATE(6796), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4252), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(4564), 5, + sym_open, + sym__entity_aspect, + sym_entity_instantiation, + sym_configuration_instantiation, + sym_component_instantiation, + [138155] = 5, + ACTIONS(5518), 1, + aux_sym_reduction_token3, + STATE(2911), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [138215] = 6, + STATE(2912), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5521), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5524), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 37, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [138277] = 5, + ACTIONS(5527), 1, + anon_sym_AMP, + STATE(2913), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [138337] = 6, + STATE(2904), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [138399] = 5, + ACTIONS(5105), 1, + anon_sym_AMP, + STATE(2895), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [138459] = 6, + ACTIONS(5530), 1, + anon_sym_PLUS, + ACTIONS(5533), 1, + anon_sym_DASH, + STATE(2916), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [138521] = 5, + ACTIONS(5536), 1, + aux_sym_reduction_token6, + STATE(2917), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [138581] = 6, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + STATE(2898), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [138643] = 5, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2903), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [138703] = 5, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + STATE(2905), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [138763] = 5, + ACTIONS(5539), 1, + aux_sym_reduction_token3, + STATE(2921), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [138823] = 5, + ACTIONS(5542), 1, + aux_sym_reduction_token2, + STATE(2922), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [138883] = 5, + ACTIONS(5149), 1, + aux_sym_reduction_token1, + STATE(2924), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [138943] = 5, + ACTIONS(5545), 1, + aux_sym_reduction_token1, + STATE(2924), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139003] = 5, + ACTIONS(5151), 1, + aux_sym_reduction_token2, + STATE(2922), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139063] = 5, + ACTIONS(5548), 1, + aux_sym_reduction_token6, + STATE(2926), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139123] = 6, + ACTIONS(5551), 1, + anon_sym_PLUS, + ACTIONS(5554), 1, + anon_sym_DASH, + STATE(2927), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139185] = 6, + STATE(2931), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5087), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5089), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139247] = 5, + ACTIONS(5557), 1, + anon_sym_AMP, + STATE(2929), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139307] = 5, + ACTIONS(5085), 1, + anon_sym_AMP, + STATE(2929), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139367] = 6, + STATE(2931), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5560), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5563), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 37, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139429] = 5, + ACTIONS(5153), 1, + aux_sym_reduction_token3, + STATE(2921), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139489] = 6, + ACTIONS(5075), 1, + anon_sym_PLUS, + ACTIONS(5077), 1, + anon_sym_DASH, + STATE(2927), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139551] = 5, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + STATE(2942), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [139611] = 5, + ACTIONS(5073), 1, + aux_sym_reduction_token6, + STATE(2926), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139671] = 5, + ACTIONS(5157), 1, + aux_sym_reduction_token6, + STATE(2917), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139731] = 5, + ACTIONS(5069), 1, + aux_sym_reduction_token3, + STATE(2911), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139791] = 5, + ACTIONS(5566), 1, + aux_sym_reduction_token1, + STATE(2938), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139851] = 6, + ACTIONS(5033), 1, + anon_sym_PLUS, + ACTIONS(5035), 1, + anon_sym_DASH, + STATE(2916), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139913] = 5, + ACTIONS(5067), 1, + aux_sym_reduction_token2, + STATE(2891), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [139973] = 5, + ACTIONS(5043), 1, + anon_sym_AMP, + STATE(2913), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 38, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [140033] = 5, + ACTIONS(5569), 1, + aux_sym_reduction_token1, + STATE(2942), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [140093] = 6, + STATE(2912), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5045), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5047), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 37, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [140155] = 5, + ACTIONS(5065), 1, + aux_sym_reduction_token1, + STATE(2938), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [140215] = 5, + ACTIONS(5572), 1, + aux_sym_reduction_token2, + STATE(2945), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 38, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [140275] = 40, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(29), 1, + aux_sym_open_token1, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(311), 1, + aux_sym_entity_declaration_token1, + ACTIONS(318), 1, + aux_sym_configuration_declaration_token1, + ACTIONS(370), 1, + aux_sym_if_statement_token1, + ACTIONS(1211), 1, + aux_sym_component_declaration_token1, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(5448), 1, + aux_sym_assertion_statement_token1, + ACTIONS(5450), 1, + aux_sym_assertion_statement_token2, + ACTIONS(5462), 1, + aux_sym_process_statement_token1, + ACTIONS(5464), 1, + aux_sym_PSL_Assume_Directive_token1, + ACTIONS(5466), 1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + ACTIONS(5468), 1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5470), 1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + ACTIONS(5472), 1, + aux_sym_PSL_Cover_Directive_token1, + ACTIONS(5474), 1, + aux_sym_PSL_Fairness_Directive_token1, + ACTIONS(5476), 1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + ACTIONS(5506), 1, + sym_basic_identifier, + ACTIONS(5508), 1, + aux_sym_block_configuration_token1, + ACTIONS(5512), 1, + aux_sym_selected_waveform_assignment_token1, + ACTIONS(5514), 1, + aux_sym_case_statement_token1, + ACTIONS(5516), 1, + aux_sym_block_statement_token1, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(4709), 1, + sym_if_generate, + STATE(5267), 1, + sym_slice_name, + STATE(6796), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4252), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(4564), 5, + sym_open, + sym__entity_aspect, + sym_entity_instantiation, + sym_configuration_instantiation, + sym_component_instantiation, + [140405] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + STATE(597), 1, + sym__attribute_designator, + STATE(648), 1, + sym__predefined_attribute_designator_with_expression, + STATE(649), 1, + sym__predefined_attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 32, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + [140475] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(5575), 1, + anon_sym_SQUOTE, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 31, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + [140547] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 31, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + [140619] = 6, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(5438), 1, + anon_sym_LPAREN, + STATE(6292), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3384), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3386), 37, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [140681] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2175), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2177), 40, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [140737] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2179), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2181), 40, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [140793] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2202), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2204), 40, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [140849] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4268), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4270), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [140904] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2750), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2752), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [140959] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3540), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3542), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [141014] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3536), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3538), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [141069] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3532), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3534), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [141124] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3516), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3518), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [141179] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(5575), 1, + anon_sym_SQUOTE, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 31, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_RBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + [141248] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2962), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2964), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [141303] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3182), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3184), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [141358] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3200), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [141413] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [141468] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3384), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3386), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [141523] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3952), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3954), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [141578] = 28, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4580), 1, + anon_sym_EQ_GT, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + STATE(5468), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4642), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [141683] = 29, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4580), 1, + anon_sym_EQ_GT, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(4642), 1, + anon_sym_COMMA, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5577), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + STATE(5468), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [141790] = 5, + ACTIONS(5169), 1, + anon_sym_DOT, + ACTIONS(5204), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 37, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [141849] = 29, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4580), 1, + anon_sym_EQ_GT, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(4642), 1, + anon_sym_COMMA, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5579), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + STATE(5468), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [141956] = 13, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(2189), 1, + aux_sym_string_literal_token2, + ACTIONS(2191), 1, + anon_sym_LPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2196), 2, + anon_sym_LT_LT, + aux_sym_string_literal_token1, + ACTIONS(1992), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(1994), 27, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [142031] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 30, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + [142102] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3030), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3032), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [142157] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4856), 1, + anon_sym_LPAREN, + ACTIONS(4982), 1, + anon_sym_SQUOTE, + STATE(2004), 1, + sym__attribute_designator, + STATE(2005), 1, + sym__predefined_attribute_designator, + STATE(2006), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 32, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + [142226] = 26, + ACTIONS(767), 1, + anon_sym_LBRACK, + ACTIONS(5324), 1, + aux_sym_reduction_token1, + ACTIONS(5326), 1, + aux_sym_reduction_token2, + ACTIONS(5328), 1, + aux_sym_reduction_token3, + ACTIONS(5332), 1, + aux_sym_reduction_token6, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + ACTIONS(5344), 1, + anon_sym_AMP, + ACTIONS(5350), 1, + anon_sym_STAR_STAR, + STATE(3122), 1, + aux_sym_term_repeat1, + STATE(3123), 1, + aux_sym_concatenation_repeat1, + STATE(3124), 1, + aux_sym_simple_expression_repeat1, + STATE(3125), 1, + aux_sym_logical_expression_repeat4, + STATE(3126), 1, + aux_sym_logical_expression_repeat3, + STATE(3127), 1, + aux_sym_logical_expression_repeat2, + STATE(3128), 1, + aux_sym_logical_expression_repeat1, + STATE(4660), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5330), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5352), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5354), 3, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + ACTIONS(5338), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5342), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5340), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [142327] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(4980), 1, + anon_sym_SQUOTE, + STATE(2007), 1, + sym__attribute_designator, + STATE(2008), 1, + sym__predefined_attribute_designator, + STATE(2009), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 32, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + [142396] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4480), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4482), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [142451] = 29, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4580), 1, + anon_sym_EQ_GT, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(4642), 1, + anon_sym_COMMA, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5581), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + STATE(5468), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [142558] = 11, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + STATE(597), 1, + sym__attribute_designator, + STATE(648), 1, + sym__predefined_attribute_designator_with_expression, + STATE(649), 1, + sym__predefined_attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1994), 5, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [142629] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4396), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4398), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [142684] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [142739] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4476), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4478), 39, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [142794] = 29, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4580), 1, + anon_sym_EQ_GT, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(4642), 1, + anon_sym_COMMA, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5583), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + STATE(5468), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [142901] = 11, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(5585), 1, + anon_sym_DOT, + ACTIONS(5587), 1, + anon_sym_SQUOTE, + STATE(3213), 1, + sym__attribute_designator, + STATE(3214), 1, + sym__predefined_attribute_designator, + STATE(3215), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 30, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [142972] = 29, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4580), 1, + anon_sym_EQ_GT, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(4642), 1, + anon_sym_COMMA, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5589), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + STATE(5468), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [143079] = 27, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4616), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5322), 2, + anon_sym_COMMA, + anon_sym_RBRACE, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [143182] = 5, + ACTIONS(5591), 1, + anon_sym_AMP, + STATE(2987), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [143240] = 5, + ACTIONS(5594), 1, + aux_sym_reduction_token2, + STATE(2988), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [143298] = 18, + ACTIONS(5597), 1, + anon_sym_PLUS, + ACTIONS(5599), 1, + anon_sym_DASH, + ACTIONS(5607), 1, + anon_sym_AMP, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5601), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5605), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5603), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 12, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [143382] = 13, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + ACTIONS(4784), 27, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [143456] = 18, + ACTIONS(5597), 1, + anon_sym_PLUS, + ACTIONS(5599), 1, + anon_sym_DASH, + ACTIONS(5607), 1, + anon_sym_AMP, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5601), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5605), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5603), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 12, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [143540] = 17, + ACTIONS(5597), 1, + anon_sym_PLUS, + ACTIONS(5599), 1, + anon_sym_DASH, + ACTIONS(5607), 1, + anon_sym_AMP, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5605), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 20, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [143622] = 27, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(4616), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + ACTIONS(5615), 1, + anon_sym_RPAREN, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + STATE(5458), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [143724] = 11, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5215), 1, + anon_sym_SQUOTE, + ACTIONS(5585), 1, + anon_sym_DOT, + ACTIONS(5617), 1, + anon_sym_LPAREN, + STATE(3213), 1, + sym__attribute_designator, + STATE(3214), 1, + sym__predefined_attribute_designator, + STATE(3215), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 29, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [143794] = 27, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5619), 1, + aux_sym_ascending_range_token1, + ACTIONS(5621), 1, + aux_sym_descending_range_token1, + ACTIONS(5623), 1, + anon_sym_PIPE, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5206), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4580), 2, + anon_sym_SEMI, + anon_sym_COMMA, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [143896] = 11, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5585), 1, + anon_sym_DOT, + ACTIONS(5587), 1, + anon_sym_SQUOTE, + ACTIONS(5625), 1, + anon_sym_LPAREN, + STATE(3216), 1, + sym__attribute_designator, + STATE(3219), 1, + sym__predefined_attribute_designator, + STATE(3221), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 29, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [143966] = 27, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(4616), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + ACTIONS(5627), 1, + anon_sym_RPAREN, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + STATE(5617), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [144068] = 5, + ACTIONS(5629), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4875), 4, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + ACTIONS(4883), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4881), 33, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + [144126] = 13, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + ACTIONS(4824), 27, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [144200] = 11, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 29, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [144270] = 6, + STATE(3001), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5632), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5635), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 35, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [144330] = 18, + ACTIONS(5597), 1, + anon_sym_PLUS, + ACTIONS(5599), 1, + anon_sym_DASH, + ACTIONS(5607), 1, + anon_sym_AMP, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5601), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5605), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5603), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 12, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [144414] = 10, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [144482] = 18, + ACTIONS(5597), 1, + anon_sym_PLUS, + ACTIONS(5599), 1, + anon_sym_DASH, + ACTIONS(5607), 1, + anon_sym_AMP, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5601), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5605), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5603), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 12, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [144566] = 5, + ACTIONS(5638), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4830), 4, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + ACTIONS(1934), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1936), 33, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + [144624] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 30, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + [144694] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(5575), 1, + anon_sym_SQUOTE, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 30, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + [144764] = 5, + ACTIONS(5238), 1, + aux_sym_reduction_token1, + STATE(3023), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [144822] = 5, + ACTIONS(5240), 1, + aux_sym_reduction_token2, + STATE(2988), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [144880] = 18, + ACTIONS(5597), 1, + anon_sym_PLUS, + ACTIONS(5599), 1, + anon_sym_DASH, + ACTIONS(5607), 1, + anon_sym_AMP, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5601), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5605), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5603), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 12, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [144964] = 5, + ACTIONS(4750), 1, + aux_sym_reduction_token3, + STATE(3050), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [145022] = 5, + ACTIONS(4754), 1, + aux_sym_reduction_token6, + STATE(3022), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [145080] = 6, + ACTIONS(4756), 1, + anon_sym_PLUS, + ACTIONS(4758), 1, + anon_sym_DASH, + STATE(3046), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [145140] = 5, + ACTIONS(4766), 1, + anon_sym_AMP, + STATE(3041), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [145198] = 6, + STATE(3029), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4768), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4770), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 35, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [145258] = 6, + ACTIONS(5641), 1, + anon_sym_PLUS, + ACTIONS(5644), 1, + anon_sym_DASH, + STATE(3016), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [145318] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(5587), 1, + anon_sym_SQUOTE, + STATE(3222), 1, + sym__attribute_designator, + STATE(3223), 1, + sym__predefined_attribute_designator, + STATE(3224), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 30, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [145386] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(5215), 1, + anon_sym_SQUOTE, + STATE(3222), 1, + sym__attribute_designator, + STATE(3223), 1, + sym__predefined_attribute_designator, + STATE(3224), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 30, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [145454] = 23, + ACTIONS(5597), 1, + anon_sym_PLUS, + ACTIONS(5599), 1, + anon_sym_DASH, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + ACTIONS(5647), 1, + aux_sym_reduction_token1, + ACTIONS(5649), 1, + aux_sym_reduction_token2, + ACTIONS(5651), 1, + aux_sym_reduction_token3, + ACTIONS(5655), 1, + aux_sym_reduction_token6, + ACTIONS(5657), 1, + anon_sym_AMP, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5653), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5601), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5129), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + ACTIONS(5605), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5603), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [145548] = 10, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [145616] = 10, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [145684] = 5, + ACTIONS(5659), 1, + aux_sym_reduction_token6, + STATE(3022), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [145742] = 5, + ACTIONS(5662), 1, + aux_sym_reduction_token1, + STATE(3023), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [145800] = 6, + STATE(3001), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 35, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [145860] = 5, + ACTIONS(5665), 1, + aux_sym_reduction_token6, + STATE(3025), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [145918] = 5, + ACTIONS(5668), 1, + aux_sym_reduction_token3, + STATE(3026), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [145976] = 5, + ACTIONS(5671), 1, + aux_sym_reduction_token2, + STATE(3027), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [146034] = 24, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5674), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [146130] = 6, + STATE(3029), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5676), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5679), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 35, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [146190] = 5, + ACTIONS(5682), 1, + aux_sym_reduction_token1, + STATE(3030), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [146248] = 25, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5687), 1, + aux_sym__after_token1, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5272), 1, + sym__after, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5685), 4, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [146346] = 5, + ACTIONS(4927), 1, + anon_sym_AMP, + STATE(2987), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [146404] = 6, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + STATE(3016), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [146464] = 5, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + STATE(3025), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [146522] = 5, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + STATE(3026), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [146580] = 5, + ACTIONS(5255), 1, + aux_sym_reduction_token2, + STATE(3027), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [146638] = 24, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5689), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [146734] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(5575), 1, + anon_sym_SQUOTE, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 28, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [146806] = 13, + ACTIONS(1994), 1, + aux_sym_range_constraint_token1, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + STATE(597), 1, + sym__attribute_designator, + STATE(648), 1, + sym__predefined_attribute_designator_with_expression, + STATE(649), 1, + sym__predefined_attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2104), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [146880] = 18, + ACTIONS(5597), 1, + anon_sym_PLUS, + ACTIONS(5599), 1, + anon_sym_DASH, + ACTIONS(5607), 1, + anon_sym_AMP, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5601), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5605), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5603), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 12, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [146964] = 5, + ACTIONS(5691), 1, + anon_sym_AMP, + STATE(3041), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [147022] = 5, + ACTIONS(5253), 1, + aux_sym_reduction_token1, + STATE(3030), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 36, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [147080] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5585), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4646), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4648), 29, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [147150] = 13, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + ACTIONS(4820), 27, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [147224] = 11, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 29, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [147294] = 6, + ACTIONS(5694), 1, + anon_sym_PLUS, + ACTIONS(5697), 1, + anon_sym_DASH, + STATE(3046), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [147354] = 23, + ACTIONS(5597), 1, + anon_sym_PLUS, + ACTIONS(5599), 1, + anon_sym_DASH, + ACTIONS(5607), 1, + anon_sym_AMP, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + ACTIONS(5647), 1, + aux_sym_reduction_token1, + ACTIONS(5649), 1, + aux_sym_reduction_token2, + ACTIONS(5651), 1, + aux_sym_reduction_token3, + ACTIONS(5655), 1, + aux_sym_reduction_token6, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5653), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5601), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4818), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + ACTIONS(5605), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5603), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [147448] = 23, + ACTIONS(5597), 1, + anon_sym_PLUS, + ACTIONS(5599), 1, + anon_sym_DASH, + ACTIONS(5607), 1, + anon_sym_AMP, + ACTIONS(5613), 1, + anon_sym_STAR_STAR, + ACTIONS(5647), 1, + aux_sym_reduction_token1, + ACTIONS(5649), 1, + aux_sym_reduction_token2, + ACTIONS(5651), 1, + aux_sym_reduction_token3, + ACTIONS(5655), 1, + aux_sym_reduction_token6, + STATE(3407), 1, + aux_sym_term_repeat1, + STATE(3408), 1, + aux_sym_concatenation_repeat1, + STATE(3410), 1, + aux_sym_simple_expression_repeat1, + STATE(3411), 1, + aux_sym_logical_expression_repeat4, + STATE(3414), 1, + aux_sym_logical_expression_repeat3, + STATE(3416), 1, + aux_sym_logical_expression_repeat2, + STATE(3419), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5653), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5601), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4806), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + ACTIONS(5605), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5603), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [147542] = 8, + ACTIONS(5700), 1, + anon_sym_LPAREN, + ACTIONS(5702), 1, + aux_sym_range_constraint_token1, + ACTIONS(5704), 1, + anon_sym_SQUOTE, + STATE(3115), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3436), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [147606] = 5, + ACTIONS(5706), 1, + aux_sym_reduction_token3, + STATE(3050), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 36, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + aux_sym__severity_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [147664] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5215), 1, + anon_sym_SQUOTE, + ACTIONS(5709), 1, + anon_sym_LPAREN, + STATE(3222), 1, + sym__attribute_designator, + STATE(3223), 1, + sym__predefined_attribute_designator, + STATE(3224), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 29, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [147731] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5585), 1, + anon_sym_DOT, + ACTIONS(5587), 1, + anon_sym_SQUOTE, + STATE(3225), 1, + sym__attribute_designator, + STATE(3226), 1, + sym__predefined_attribute_designator, + STATE(3227), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 29, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [147798] = 27, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5711), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5606), 1, + sym__report, + STATE(6808), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [147899] = 27, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4580), 1, + anon_sym_EQ_GT, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + STATE(5468), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [148000] = 27, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5713), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5343), 1, + sym__report, + STATE(7339), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [148101] = 25, + ACTIONS(4616), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5715), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [148198] = 27, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5717), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5557), 1, + sym__report, + STATE(6442), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [148299] = 10, + ACTIONS(4858), 1, + anon_sym_LBRACK, + ACTIONS(5587), 1, + anon_sym_SQUOTE, + ACTIONS(5625), 1, + anon_sym_LPAREN, + STATE(3228), 1, + sym__attribute_designator, + STATE(3235), 1, + sym__predefined_attribute_designator, + STATE(3239), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 29, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [148366] = 7, + ACTIONS(5700), 1, + anon_sym_LPAREN, + ACTIONS(5702), 1, + aux_sym_range_constraint_token1, + STATE(3115), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3430), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [148427] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(5575), 1, + anon_sym_SQUOTE, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 30, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + [148494] = 5, + ACTIONS(5291), 1, + anon_sym_DOT, + ACTIONS(5480), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 35, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [148551] = 27, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5719), 1, + anon_sym_RPAREN, + ACTIONS(5721), 1, + anon_sym_COMMA, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + STATE(5650), 1, + aux_sym_expression_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [148652] = 27, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5723), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5501), 1, + sym__report, + STATE(7114), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [148753] = 25, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5619), 1, + aux_sym_ascending_range_token1, + ACTIONS(5621), 1, + aux_sym_descending_range_token1, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5725), 3, + anon_sym_SEMI, + anon_sym_COMMA, + anon_sym_PIPE, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [148850] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(5575), 1, + anon_sym_SQUOTE, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 28, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [148919] = 27, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5727), 1, + anon_sym_RPAREN, + ACTIONS(5730), 1, + anon_sym_COMMA, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + STATE(5650), 1, + aux_sym_expression_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [149020] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5733), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5736), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [149072] = 6, + ACTIONS(5739), 1, + anon_sym_PLUS, + ACTIONS(5742), 1, + anon_sym_DASH, + STATE(3068), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [149130] = 6, + STATE(3069), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5745), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5748), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 33, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [149188] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2109), 1, + anon_sym_DOT, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [149258] = 13, + ACTIONS(1994), 1, + aux_sym_range_constraint_token1, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + STATE(597), 1, + sym__attribute_designator, + STATE(648), 1, + sym__predefined_attribute_designator_with_expression, + STATE(649), 1, + sym__predefined_attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2104), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 24, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [149330] = 5, + ACTIONS(5751), 1, + anon_sym_AMP, + STATE(3072), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [149386] = 6, + ACTIONS(5754), 1, + anon_sym_PLUS, + ACTIONS(5757), 1, + anon_sym_DASH, + STATE(3073), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [149444] = 5, + ACTIONS(5760), 1, + aux_sym_reduction_token6, + STATE(3074), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [149500] = 25, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(5253), 1, + aux_sym_reduction_token1, + ACTIONS(5255), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(3836), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5763), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [149596] = 5, + ACTIONS(5765), 1, + aux_sym_reduction_token3, + STATE(3076), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [149652] = 5, + ACTIONS(5768), 1, + aux_sym_reduction_token1, + STATE(3077), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 34, + anon_sym_SEMI, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [149708] = 5, + ACTIONS(5771), 1, + aux_sym_reduction_token2, + STATE(3078), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [149764] = 25, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5915), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5774), 2, + anon_sym_SEMI, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [149860] = 6, + STATE(3069), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 33, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [149918] = 5, + ACTIONS(5372), 1, + anon_sym_AMP, + STATE(3072), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [149974] = 6, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + STATE(3073), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [150032] = 5, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + STATE(3074), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [150088] = 11, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + ACTIONS(4644), 1, + anon_sym_DOT, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 28, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [150156] = 5, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + STATE(3076), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [150212] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5778), 4, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [150304] = 25, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5780), 1, + aux_sym__after_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + STATE(5272), 1, + sym__after, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5685), 2, + anon_sym_COMMA, + aux_sym__when_clause_token1, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [150400] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5782), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5784), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [150452] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5786), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5788), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [150504] = 4, + ACTIONS(5790), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1934), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1936), 34, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym_if_token1, + aux_sym_for_generate_statement_token1, + [150558] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5792), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5794), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [150610] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5796), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5798), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [150662] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5800), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5802), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [150714] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5804), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5807), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [150766] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5810), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5812), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [150818] = 6, + STATE(3096), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5814), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5817), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 33, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [150876] = 5, + ACTIONS(5820), 1, + anon_sym_AMP, + STATE(3097), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [150932] = 5, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + STATE(3078), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 34, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [150988] = 5, + ACTIONS(5823), 1, + aux_sym_reduction_token6, + STATE(3099), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [151044] = 5, + ACTIONS(5826), 1, + aux_sym_reduction_token3, + STATE(3100), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [151100] = 5, + ACTIONS(5829), 1, + aux_sym_reduction_token2, + STATE(3101), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [151156] = 5, + ACTIONS(5832), 1, + aux_sym_reduction_token1, + STATE(3102), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [151212] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + ACTIONS(5835), 1, + anon_sym_RPAREN, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [151282] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(4622), 1, + anon_sym_LBRACK, + STATE(597), 1, + sym__attribute_designator, + STATE(648), 1, + sym__predefined_attribute_designator_with_expression, + STATE(649), 1, + sym__predefined_attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 29, + anon_sym_SEMI, + anon_sym_RPAREN, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [151348] = 25, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5725), 2, + anon_sym_EQ_GT, + anon_sym_PIPE, + ACTIONS(4895), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 7, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [151444] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5837), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5840), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [151496] = 5, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + STATE(3077), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 34, + anon_sym_SEMI, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [151552] = 10, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(4622), 1, + anon_sym_LBRACK, + STATE(597), 1, + sym__attribute_designator, + STATE(648), 1, + sym__predefined_attribute_designator_with_expression, + STATE(649), 1, + sym__predefined_attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 29, + anon_sym_SEMI, + anon_sym_RPAREN, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [151618] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5843), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5845), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [151670] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 35, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + [151722] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 35, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + [151774] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2111), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 28, + anon_sym_RPAREN, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [151842] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5847), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5849), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [151894] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5851), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5854), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [151946] = 7, + ACTIONS(5700), 1, + anon_sym_LPAREN, + STATE(3115), 1, + sym_index_constraint, + STATE(3439), 1, + sym__array_element_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3437), 2, + sym_array_constraint, + sym_record_constraint, + ACTIONS(2043), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2045), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [152006] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5857), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5859), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [152058] = 25, + ACTIONS(767), 1, + anon_sym_LBRACK, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(5253), 1, + aux_sym_reduction_token1, + ACTIONS(5255), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + STATE(4660), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5763), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [152154] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 35, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + [152206] = 4, + ACTIONS(5861), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4883), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4881), 34, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_PIPE, + aux_sym_if_token1, + aux_sym_for_generate_statement_token1, + [152260] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5863), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5865), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [152312] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5867), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5869), 40, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [152364] = 6, + STATE(3096), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5346), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5348), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 33, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [152422] = 5, + ACTIONS(5344), 1, + anon_sym_AMP, + STATE(3097), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [152478] = 6, + ACTIONS(5334), 1, + anon_sym_PLUS, + ACTIONS(5336), 1, + anon_sym_DASH, + STATE(3068), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [152536] = 5, + ACTIONS(5332), 1, + aux_sym_reduction_token6, + STATE(3099), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [152592] = 5, + ACTIONS(5328), 1, + aux_sym_reduction_token3, + STATE(3100), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [152648] = 5, + ACTIONS(5326), 1, + aux_sym_reduction_token2, + STATE(3101), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [152704] = 5, + ACTIONS(5324), 1, + aux_sym_reduction_token1, + STATE(3102), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 34, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [152760] = 23, + ACTIONS(5871), 1, + aux_sym_reduction_token1, + ACTIONS(5873), 1, + aux_sym_reduction_token2, + ACTIONS(5875), 1, + aux_sym_reduction_token3, + ACTIONS(5879), 1, + aux_sym_reduction_token6, + ACTIONS(5881), 1, + anon_sym_PLUS, + ACTIONS(5883), 1, + anon_sym_DASH, + ACTIONS(5891), 1, + anon_sym_AMP, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5877), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4818), 3, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym__report_token1, + ACTIONS(5885), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5889), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5887), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [152851] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + ACTIONS(5899), 1, + anon_sym_SQUOTE, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [152918] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5902), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [153011] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(5904), 1, + anon_sym_SQUOTE, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [153078] = 11, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + ACTIONS(4858), 1, + anon_sym_LBRACK, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [153145] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5906), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [153238] = 12, + ACTIONS(1994), 1, + aux_sym_range_constraint_token1, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + STATE(597), 1, + sym__attribute_designator, + STATE(648), 1, + sym__predefined_attribute_designator_with_expression, + STATE(649), 1, + sym__predefined_attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2104), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [153307] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5908), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [153400] = 11, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(4858), 1, + anon_sym_LBRACK, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [153467] = 24, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4943), 1, + anon_sym_LBRACK, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(5253), 1, + aux_sym_reduction_token1, + ACTIONS(5255), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5763), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [153560] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(5575), 1, + anon_sym_SQUOTE, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [153627] = 11, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__report_token1, + [153694] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5910), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [153787] = 25, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5912), 1, + anon_sym_RBRACK, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [153882] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5914), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [153975] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(5916), 1, + anon_sym_SQUOTE, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [154042] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + ACTIONS(5918), 1, + anon_sym_SQUOTE, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [154109] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5921), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [154202] = 25, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(5923), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5240), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [154297] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1934), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1936), 34, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [154348] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1988), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1990), 34, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [154399] = 10, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 28, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [154464] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(2109), 1, + anon_sym_DOT, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [154531] = 8, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4844), 1, + anon_sym_SQUOTE, + ACTIONS(5925), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 28, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [154592] = 10, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 28, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [154657] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1944), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1946), 34, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [154708] = 25, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(5927), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5240), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [154803] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2109), 1, + anon_sym_DOT, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [154870] = 25, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(5929), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5240), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [154965] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1984), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1986), 34, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [155016] = 25, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(5931), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5240), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [155111] = 25, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(5933), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5240), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [155206] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(5935), 1, + anon_sym_SQUOTE, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [155273] = 24, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + ACTIONS(5937), 1, + anon_sym_RPAREN, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [155366] = 11, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4622), 1, + anon_sym_LBRACK, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1992), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1994), 27, + anon_sym_RPAREN, + aux_sym_range_constraint_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [155433] = 10, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4858), 1, + anon_sym_LBRACK, + STATE(597), 1, + sym__attribute_designator, + STATE(648), 1, + sym__predefined_attribute_designator_with_expression, + STATE(649), 1, + sym__predefined_attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 28, + anon_sym_SEMI, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [155498] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5939), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [155591] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1938), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1940), 34, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [155642] = 25, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(5941), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5240), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [155737] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5943), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [155830] = 24, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + ACTIONS(5945), 1, + anon_sym_COMMA, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [155923] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2004), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2006), 34, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [155974] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + ACTIONS(5947), 1, + anon_sym_SQUOTE, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [156041] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5950), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [156134] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5952), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [156227] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5954), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [156320] = 18, + ACTIONS(5881), 1, + anon_sym_PLUS, + ACTIONS(5883), 1, + anon_sym_DASH, + ACTIONS(5891), 1, + anon_sym_AMP, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5885), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5889), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5887), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4713), 9, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__report_token1, + [156401] = 18, + ACTIONS(5881), 1, + anon_sym_PLUS, + ACTIONS(5883), 1, + anon_sym_DASH, + ACTIONS(5891), 1, + anon_sym_AMP, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5885), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5889), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5887), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4711), 9, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__report_token1, + [156482] = 24, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + ACTIONS(5956), 1, + anon_sym_COMMA, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [156575] = 23, + ACTIONS(5871), 1, + aux_sym_reduction_token1, + ACTIONS(5873), 1, + aux_sym_reduction_token2, + ACTIONS(5875), 1, + aux_sym_reduction_token3, + ACTIONS(5879), 1, + aux_sym_reduction_token6, + ACTIONS(5881), 1, + anon_sym_PLUS, + ACTIONS(5883), 1, + anon_sym_DASH, + ACTIONS(5891), 1, + anon_sym_AMP, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5877), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5129), 3, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym__report_token1, + ACTIONS(5885), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5889), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5887), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [156666] = 18, + ACTIONS(5881), 1, + anon_sym_PLUS, + ACTIONS(5883), 1, + anon_sym_DASH, + ACTIONS(5891), 1, + anon_sym_AMP, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5885), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5889), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5887), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4724), 9, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__report_token1, + [156747] = 13, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 25, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__report_token1, + [156818] = 18, + ACTIONS(5881), 1, + anon_sym_PLUS, + ACTIONS(5883), 1, + anon_sym_DASH, + ACTIONS(5891), 1, + anon_sym_AMP, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5885), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5889), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5887), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4790), 9, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__report_token1, + [156899] = 25, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(5958), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5240), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [156994] = 13, + ACTIONS(615), 1, + aux_sym_others_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(5960), 1, + sym_basic_identifier, + STATE(4464), 1, + sym__component_specification, + STATE(4562), 1, + sym_pathname_element, + STATE(4645), 1, + sym__simple_name, + STATE(5293), 1, + sym_generate_statement_element, + STATE(7300), 1, + sym_instantiation_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1976), 2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + STATE(7299), 2, + sym_all, + sym_others, + ACTIONS(1968), 29, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [157065] = 24, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + ACTIONS(5962), 1, + anon_sym_COMMA, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [157158] = 18, + ACTIONS(5881), 1, + anon_sym_PLUS, + ACTIONS(5883), 1, + anon_sym_DASH, + ACTIONS(5891), 1, + anon_sym_AMP, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5885), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5889), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5887), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4788), 9, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__report_token1, + [157239] = 24, + ACTIONS(5095), 1, + anon_sym_PLUS, + ACTIONS(5097), 1, + anon_sym_DASH, + ACTIONS(5105), 1, + anon_sym_AMP, + ACTIONS(5111), 1, + anon_sym_STAR_STAR, + ACTIONS(5133), 1, + aux_sym_reduction_token1, + ACTIONS(5135), 1, + aux_sym_reduction_token2, + ACTIONS(5137), 1, + aux_sym_reduction_token3, + ACTIONS(5141), 1, + aux_sym_reduction_token6, + ACTIONS(5964), 1, + anon_sym_COMMA, + STATE(2892), 1, + aux_sym_logical_expression_repeat2, + STATE(2914), 1, + aux_sym_term_repeat1, + STATE(2915), 1, + aux_sym_concatenation_repeat1, + STATE(2918), 1, + aux_sym_simple_expression_repeat1, + STATE(2919), 1, + aux_sym_logical_expression_repeat4, + STATE(2920), 1, + aux_sym_logical_expression_repeat3, + STATE(2934), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5107), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5109), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5139), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5242), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5099), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5103), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5101), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [157332] = 13, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 25, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__report_token1, + [157403] = 25, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5966), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [157498] = 10, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(4858), 1, + anon_sym_LBRACK, + STATE(592), 1, + sym__predefined_attribute_designator_with_expression, + STATE(609), 1, + sym__predefined_attribute_designator, + STATE(612), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 28, + anon_sym_SEMI, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [157563] = 18, + ACTIONS(5881), 1, + anon_sym_PLUS, + ACTIONS(5883), 1, + anon_sym_DASH, + ACTIONS(5891), 1, + anon_sym_AMP, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5885), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5889), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5887), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + ACTIONS(4798), 9, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym__report_token1, + [157644] = 17, + ACTIONS(5881), 1, + anon_sym_PLUS, + ACTIONS(5883), 1, + anon_sym_DASH, + ACTIONS(5891), 1, + anon_sym_AMP, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5889), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 17, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym__report_token1, + [157723] = 13, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 25, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym__report_token1, + [157794] = 11, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + aux_sym__report_token1, + [157861] = 10, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 28, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [157926] = 24, + ACTIONS(4917), 1, + anon_sym_PLUS, + ACTIONS(4919), 1, + anon_sym_DASH, + ACTIONS(4927), 1, + anon_sym_AMP, + ACTIONS(4933), 1, + anon_sym_STAR_STAR, + ACTIONS(4949), 1, + aux_sym_reduction_token3, + ACTIONS(4953), 1, + aux_sym_reduction_token6, + ACTIONS(4959), 1, + anon_sym_LBRACK, + ACTIONS(5253), 1, + aux_sym_reduction_token1, + ACTIONS(5255), 1, + aux_sym_reduction_token2, + STATE(3024), 1, + aux_sym_term_repeat1, + STATE(3032), 1, + aux_sym_concatenation_repeat1, + STATE(3033), 1, + aux_sym_simple_expression_repeat1, + STATE(3034), 1, + aux_sym_logical_expression_repeat4, + STATE(3035), 1, + aux_sym_logical_expression_repeat3, + STATE(3036), 1, + aux_sym_logical_expression_repeat2, + STATE(3042), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4929), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4931), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4951), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5763), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(4921), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4925), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4923), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [158019] = 23, + ACTIONS(5871), 1, + aux_sym_reduction_token1, + ACTIONS(5873), 1, + aux_sym_reduction_token2, + ACTIONS(5875), 1, + aux_sym_reduction_token3, + ACTIONS(5879), 1, + aux_sym_reduction_token6, + ACTIONS(5881), 1, + anon_sym_PLUS, + ACTIONS(5883), 1, + anon_sym_DASH, + ACTIONS(5891), 1, + anon_sym_AMP, + ACTIONS(5897), 1, + anon_sym_STAR_STAR, + STATE(3591), 1, + aux_sym_term_repeat1, + STATE(3600), 1, + aux_sym_concatenation_repeat1, + STATE(3601), 1, + aux_sym_simple_expression_repeat1, + STATE(3602), 1, + aux_sym_logical_expression_repeat4, + STATE(3603), 1, + aux_sym_logical_expression_repeat3, + STATE(3604), 1, + aux_sym_logical_expression_repeat2, + STATE(3605), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5877), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4806), 3, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym__report_token1, + ACTIONS(5885), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5889), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5887), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [158110] = 24, + ACTIONS(5362), 1, + anon_sym_PLUS, + ACTIONS(5364), 1, + anon_sym_DASH, + ACTIONS(5372), 1, + anon_sym_AMP, + ACTIONS(5378), 1, + anon_sym_STAR_STAR, + ACTIONS(5386), 1, + aux_sym_reduction_token1, + ACTIONS(5388), 1, + aux_sym_reduction_token2, + ACTIONS(5390), 1, + aux_sym_reduction_token3, + ACTIONS(5394), 1, + aux_sym_reduction_token6, + ACTIONS(5968), 1, + anon_sym_SEMI, + STATE(3080), 1, + aux_sym_term_repeat1, + STATE(3081), 1, + aux_sym_concatenation_repeat1, + STATE(3082), 1, + aux_sym_simple_expression_repeat1, + STATE(3083), 1, + aux_sym_logical_expression_repeat4, + STATE(3085), 1, + aux_sym_logical_expression_repeat3, + STATE(3098), 1, + aux_sym_logical_expression_repeat2, + STATE(3107), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5376), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5392), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5396), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(5366), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5370), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5368), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [158203] = 25, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(5970), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + STATE(5240), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [158298] = 18, + ACTIONS(5972), 1, + anon_sym_PLUS, + ACTIONS(5974), 1, + anon_sym_DASH, + ACTIONS(5982), 1, + anon_sym_AMP, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5976), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5980), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4798), 8, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + ACTIONS(5978), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [158378] = 24, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5990), 1, + anon_sym_SEMI, + ACTIONS(5992), 1, + aux_sym_block_configuration_token1, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [158470] = 10, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4867), 27, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [158534] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5994), 1, + aux_sym_entity_declaration_token2, + ACTIONS(5996), 1, + aux_sym_for_generate_statement_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [158626] = 24, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(5998), 1, + anon_sym_SEMI, + ACTIONS(6000), 1, + aux_sym_block_configuration_token1, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [158718] = 24, + ACTIONS(4576), 1, + aux_sym_ascending_range_token1, + ACTIONS(4578), 1, + aux_sym_descending_range_token1, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [158810] = 10, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4871), 27, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [158874] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6002), 1, + aux_sym_ascending_range_token1, + ACTIONS(6004), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [158966] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6006), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [159056] = 7, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(5925), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1386), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 28, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [159114] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6008), 1, + aux_sym_ascending_range_token1, + ACTIONS(6010), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [159206] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6012), 2, + anon_sym_SEMI, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [159296] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6014), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [159386] = 10, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(4858), 1, + anon_sym_LBRACK, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [159450] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [159500] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [159550] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [159600] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [159650] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(5935), 1, + anon_sym_SQUOTE, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [159714] = 10, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4852), 27, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [159778] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [159828] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(5947), 1, + anon_sym_SQUOTE, + STATE(597), 1, + sym__attribute_designator, + STATE(648), 1, + sym__predefined_attribute_designator_with_expression, + STATE(649), 1, + sym__predefined_attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [159892] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [159942] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [159992] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [160042] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [160092] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [160142] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [160192] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [160242] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [160292] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6016), 1, + aux_sym_ascending_range_token1, + ACTIONS(6018), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [160384] = 11, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4848), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + [160450] = 13, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4824), 24, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + [160520] = 24, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6020), 1, + anon_sym_SEMI, + ACTIONS(6022), 1, + aux_sym_block_configuration_token1, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [160612] = 17, + ACTIONS(5972), 1, + anon_sym_PLUS, + ACTIONS(5974), 1, + anon_sym_DASH, + ACTIONS(5982), 1, + anon_sym_AMP, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5980), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 16, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [160690] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6024), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [160780] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [160830] = 13, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4784), 24, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + [160900] = 18, + ACTIONS(5972), 1, + anon_sym_PLUS, + ACTIONS(5974), 1, + anon_sym_DASH, + ACTIONS(5982), 1, + anon_sym_AMP, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5976), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5980), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4788), 8, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + ACTIONS(5978), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [160980] = 18, + ACTIONS(5972), 1, + anon_sym_PLUS, + ACTIONS(5974), 1, + anon_sym_DASH, + ACTIONS(5982), 1, + anon_sym_AMP, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5976), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5980), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4790), 8, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + ACTIONS(5978), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [161060] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2067), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [161110] = 18, + ACTIONS(5972), 1, + anon_sym_PLUS, + ACTIONS(5974), 1, + anon_sym_DASH, + ACTIONS(5982), 1, + anon_sym_AMP, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5976), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5980), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4724), 8, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + ACTIONS(5978), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [161190] = 8, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4844), 1, + anon_sym_SQUOTE, + ACTIONS(6026), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(4840), 26, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [161250] = 18, + ACTIONS(5972), 1, + anon_sym_PLUS, + ACTIONS(5974), 1, + anon_sym_DASH, + ACTIONS(5982), 1, + anon_sym_AMP, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5976), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5980), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4711), 8, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + ACTIONS(5978), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [161330] = 24, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6028), 1, + anon_sym_SEMI, + ACTIONS(6030), 1, + aux_sym_block_configuration_token1, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [161422] = 18, + ACTIONS(5972), 1, + anon_sym_PLUS, + ACTIONS(5974), 1, + anon_sym_DASH, + ACTIONS(5982), 1, + anon_sym_AMP, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5976), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5980), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4713), 8, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + ACTIONS(5978), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [161502] = 24, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6032), 1, + anon_sym_SEMI, + ACTIONS(6034), 1, + aux_sym_block_configuration_token1, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [161594] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6036), 1, + aux_sym_ascending_range_token1, + ACTIONS(6038), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [161686] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6040), 1, + aux_sym_ascending_range_token1, + ACTIONS(6042), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [161778] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(5904), 1, + anon_sym_SQUOTE, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [161842] = 24, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6044), 1, + anon_sym_SEMI, + ACTIONS(6046), 1, + aux_sym_block_configuration_token1, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [161934] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2114), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2116), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [161984] = 4, + ACTIONS(2118), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2114), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2116), 32, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [162036] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2093), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2095), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [162086] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2089), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2091), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [162136] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [162186] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [162236] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5778), 2, + anon_sym_COMMA, + aux_sym__when_clause_token1, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [162326] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6048), 1, + aux_sym_ascending_range_token1, + ACTIONS(6050), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [162418] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2063), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [162468] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6052), 1, + aux_sym_ascending_range_token1, + ACTIONS(6054), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [162560] = 8, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4844), 1, + anon_sym_SQUOTE, + ACTIONS(6056), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4846), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4840), 27, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [162620] = 24, + ACTIONS(4871), 1, + sym_extended_identifier, + ACTIONS(4873), 1, + sym_basic_identifier, + ACTIONS(6058), 1, + aux_sym_reduction_token1, + ACTIONS(6060), 1, + aux_sym_reduction_token2, + ACTIONS(6062), 1, + aux_sym_reduction_token3, + ACTIONS(6066), 1, + aux_sym_reduction_token6, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + ACTIONS(6078), 1, + anon_sym_AMP, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6064), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6072), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(6076), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(6074), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [162712] = 24, + ACTIONS(4867), 1, + sym_extended_identifier, + ACTIONS(4869), 1, + sym_basic_identifier, + ACTIONS(6058), 1, + aux_sym_reduction_token1, + ACTIONS(6060), 1, + aux_sym_reduction_token2, + ACTIONS(6062), 1, + aux_sym_reduction_token3, + ACTIONS(6066), 1, + aux_sym_reduction_token6, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + ACTIONS(6078), 1, + anon_sym_AMP, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6064), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6072), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(6076), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(6074), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [162804] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5619), 1, + aux_sym_ascending_range_token1, + ACTIONS(5621), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [162896] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(5899), 1, + anon_sym_SQUOTE, + STATE(597), 1, + sym__attribute_designator, + STATE(648), 1, + sym__predefined_attribute_designator_with_expression, + STATE(649), 1, + sym__predefined_attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [162960] = 13, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4820), 24, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + [163030] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2109), 1, + anon_sym_DOT, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [163094] = 11, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4707), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + [163160] = 23, + ACTIONS(5972), 1, + anon_sym_PLUS, + ACTIONS(5974), 1, + anon_sym_DASH, + ACTIONS(5982), 1, + anon_sym_AMP, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + ACTIONS(6086), 1, + aux_sym_reduction_token1, + ACTIONS(6088), 1, + aux_sym_reduction_token2, + ACTIONS(6090), 1, + aux_sym_reduction_token3, + ACTIONS(6094), 1, + aux_sym_reduction_token6, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4818), 2, + anon_sym_RPAREN, + anon_sym_LBRACK, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6092), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5976), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5980), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5978), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [163250] = 13, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4822), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + sym_basic_identifier, + ACTIONS(4820), 23, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + sym_extended_identifier, + [163320] = 11, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4709), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(4707), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + sym_extended_identifier, + [163386] = 24, + ACTIONS(4818), 1, + sym_extended_identifier, + ACTIONS(6058), 1, + aux_sym_reduction_token1, + ACTIONS(6060), 1, + aux_sym_reduction_token2, + ACTIONS(6062), 1, + aux_sym_reduction_token3, + ACTIONS(6066), 1, + aux_sym_reduction_token6, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + ACTIONS(6078), 1, + anon_sym_AMP, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + ACTIONS(6096), 1, + sym_basic_identifier, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6064), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6072), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(6076), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(6074), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [163478] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2085), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2087), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [163528] = 24, + ACTIONS(4806), 1, + sym_extended_identifier, + ACTIONS(6058), 1, + aux_sym_reduction_token1, + ACTIONS(6060), 1, + aux_sym_reduction_token2, + ACTIONS(6062), 1, + aux_sym_reduction_token3, + ACTIONS(6066), 1, + aux_sym_reduction_token6, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + ACTIONS(6078), 1, + anon_sym_AMP, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + ACTIONS(6098), 1, + sym_basic_identifier, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6064), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6072), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(6076), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(6074), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [163620] = 23, + ACTIONS(5972), 1, + anon_sym_PLUS, + ACTIONS(5974), 1, + anon_sym_DASH, + ACTIONS(5982), 1, + anon_sym_AMP, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + ACTIONS(6086), 1, + aux_sym_reduction_token1, + ACTIONS(6088), 1, + aux_sym_reduction_token2, + ACTIONS(6090), 1, + aux_sym_reduction_token3, + ACTIONS(6094), 1, + aux_sym_reduction_token6, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4806), 2, + anon_sym_RPAREN, + anon_sym_LBRACK, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6092), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5976), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5980), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5978), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [163710] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(5916), 1, + anon_sym_SQUOTE, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [163774] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2131), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2133), 33, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [163824] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6100), 1, + aux_sym_ascending_range_token1, + ACTIONS(6102), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [163916] = 10, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4854), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(4852), 26, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [163980] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(5918), 1, + anon_sym_SQUOTE, + STATE(597), 1, + sym__attribute_designator, + STATE(648), 1, + sym__predefined_attribute_designator_with_expression, + STATE(649), 1, + sym__predefined_attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [164044] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6104), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [164134] = 24, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6106), 1, + anon_sym_SEMI, + ACTIONS(6108), 1, + aux_sym_block_configuration_token1, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [164226] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6110), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(6112), 38, + aux_sym_entity_declaration_token2, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [164276] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6114), 1, + aux_sym_ascending_range_token1, + ACTIONS(6116), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [164368] = 11, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2033), 1, + anon_sym_LPAREN, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4858), 1, + anon_sym_LBRACK, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [164434] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6118), 1, + aux_sym_ascending_range_token1, + ACTIONS(6120), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [164526] = 6, + ACTIONS(4875), 1, + anon_sym_LBRACK, + ACTIONS(4878), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4836), 3, + anon_sym_SEMI, + anon_sym_RPAREN, + aux_sym__report_token1, + ACTIONS(4883), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4881), 29, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [164582] = 6, + ACTIONS(4830), 1, + anon_sym_LBRACK, + ACTIONS(4833), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4836), 3, + anon_sym_SEMI, + anon_sym_RPAREN, + aux_sym__report_token1, + ACTIONS(1934), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(1936), 29, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [164638] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6122), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [164728] = 23, + ACTIONS(5972), 1, + anon_sym_PLUS, + ACTIONS(5974), 1, + anon_sym_DASH, + ACTIONS(5982), 1, + anon_sym_AMP, + ACTIONS(5988), 1, + anon_sym_STAR_STAR, + ACTIONS(6086), 1, + aux_sym_reduction_token1, + ACTIONS(6088), 1, + aux_sym_reduction_token2, + ACTIONS(6090), 1, + aux_sym_reduction_token3, + ACTIONS(6094), 1, + aux_sym_reduction_token6, + STATE(3609), 1, + aux_sym_logical_expression_repeat2, + STATE(3610), 1, + aux_sym_simple_expression_repeat1, + STATE(3611), 1, + aux_sym_concatenation_repeat1, + STATE(3614), 1, + aux_sym_logical_expression_repeat3, + STATE(3615), 1, + aux_sym_logical_expression_repeat1, + STATE(3618), 1, + aux_sym_term_repeat1, + STATE(3623), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5129), 2, + anon_sym_RPAREN, + anon_sym_LBRACK, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6092), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(5976), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5980), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(5978), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [164818] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6124), 1, + aux_sym_ascending_range_token1, + ACTIONS(6126), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [164910] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6128), 1, + aux_sym_ascending_range_token1, + ACTIONS(6130), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [165002] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(5575), 1, + anon_sym_SQUOTE, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [165066] = 19, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + ACTIONS(6078), 1, + anon_sym_AMP, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + ACTIONS(6132), 1, + sym_basic_identifier, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6072), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(6076), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4713), 7, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + sym_extended_identifier, + ACTIONS(6074), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [165148] = 19, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + ACTIONS(6078), 1, + anon_sym_AMP, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + ACTIONS(6134), 1, + sym_basic_identifier, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6072), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(6076), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4711), 7, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + sym_extended_identifier, + ACTIONS(6074), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [165230] = 19, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + ACTIONS(6078), 1, + anon_sym_AMP, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + ACTIONS(6136), 1, + sym_basic_identifier, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6072), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(6076), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4724), 7, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + sym_extended_identifier, + ACTIONS(6074), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [165312] = 24, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6138), 1, + anon_sym_SEMI, + ACTIONS(6140), 1, + aux_sym_block_configuration_token1, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [165404] = 19, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + ACTIONS(6078), 1, + anon_sym_AMP, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + ACTIONS(6142), 1, + sym_basic_identifier, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6072), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(6076), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4790), 7, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + sym_extended_identifier, + ACTIONS(6074), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [165486] = 19, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + ACTIONS(6078), 1, + anon_sym_AMP, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + ACTIONS(6144), 1, + sym_basic_identifier, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6072), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(6076), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4788), 7, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + sym_extended_identifier, + ACTIONS(6074), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [165568] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6146), 1, + aux_sym_ascending_range_token1, + ACTIONS(6148), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [165660] = 13, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4786), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + sym_basic_identifier, + ACTIONS(4784), 23, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + sym_extended_identifier, + [165730] = 19, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + ACTIONS(6078), 1, + anon_sym_AMP, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + ACTIONS(6150), 1, + sym_basic_identifier, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(6072), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(6076), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4798), 7, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + sym_extended_identifier, + ACTIONS(6074), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [165812] = 17, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + ACTIONS(6078), 1, + anon_sym_AMP, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4794), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + sym_basic_identifier, + ACTIONS(6076), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4792), 15, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + sym_extended_identifier, + [165890] = 13, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4826), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + sym_basic_identifier, + ACTIONS(4824), 23, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + sym_extended_identifier, + [165960] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6152), 2, + anon_sym_SEMI, + aux_sym__severity_token1, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [166050] = 11, + ACTIONS(6084), 1, + anon_sym_STAR_STAR, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4850), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(4848), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + sym_extended_identifier, + [166116] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6154), 1, + aux_sym_if_token1, + ACTIONS(6156), 1, + aux_sym_for_generate_statement_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [166208] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6158), 1, + aux_sym_entity_declaration_token2, + ACTIONS(6160), 1, + aux_sym_for_generate_statement_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [166300] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6162), 1, + aux_sym_ascending_range_token1, + ACTIONS(6164), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [166392] = 24, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6166), 1, + aux_sym_ascending_range_token1, + ACTIONS(6168), 1, + aux_sym_descending_range_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [166484] = 11, + ACTIONS(615), 1, + aux_sym_others_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6170), 1, + sym_basic_identifier, + STATE(4464), 1, + sym__component_specification, + STATE(5390), 1, + sym__simple_name, + STATE(7300), 1, + sym_instantiation_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1976), 2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + STATE(7299), 2, + sym_all, + sym_others, + ACTIONS(1968), 30, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [166550] = 10, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4873), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(4871), 26, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [166614] = 11, + ACTIONS(2001), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4705), 1, + anon_sym_LPAREN, + ACTIONS(4858), 1, + anon_sym_LBRACK, + STATE(586), 1, + sym__attribute_designator, + STATE(589), 1, + sym__predefined_attribute_designator, + STATE(611), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [166680] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(6172), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [166770] = 10, + STATE(3619), 1, + aux_sym_logical_expression_repeat3, + STATE(3620), 1, + aux_sym_logical_expression_repeat4, + STATE(3621), 1, + aux_sym_simple_expression_repeat1, + STATE(3625), 1, + aux_sym_logical_expression_repeat1, + STATE(3632), 1, + aux_sym_logical_expression_repeat2, + STATE(3635), 1, + aux_sym_concatenation_repeat1, + STATE(3636), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4869), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(4867), 26, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [166834] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6174), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [166923] = 6, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6176), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + STATE(3371), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + ACTIONS(6178), 31, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [166978] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6180), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [167067] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6182), 1, + aux_sym_for_generate_statement_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [167156] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6184), 1, + aux_sym_selected_waveform_assignment_token2, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [167245] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6186), 1, + aux_sym_entity_declaration_token2, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [167334] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6188), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [167423] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6190), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [167512] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6192), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [167601] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6194), 1, + aux_sym_selected_waveform_assignment_token2, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [167690] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6196), 1, + aux_sym_entity_declaration_token2, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [167779] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6198), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [167868] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6200), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [167957] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6202), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [168046] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6204), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [168135] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6206), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [168224] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6208), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [168313] = 13, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6210), 1, + sym_basic_identifier, + ACTIONS(6220), 1, + aux_sym__predefined_designator_token26, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + STATE(615), 1, + sym__predefined_designator, + STATE(617), 1, + sym__simple_name, + STATE(2243), 1, + sym__range_designator, + STATE(7004), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6212), 2, + aux_sym_range_constraint_token1, + aux_sym__range_designator_token1, + ACTIONS(6216), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6218), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6214), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [168382] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6224), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [168471] = 7, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(6056), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1386), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 27, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [168528] = 6, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6226), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + STATE(3316), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + ACTIONS(6228), 31, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [168583] = 5, + ACTIONS(5585), 1, + anon_sym_DOT, + ACTIONS(6230), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [168636] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6232), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [168725] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6234), 1, + aux_sym__when_clause_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [168814] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6236), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [168903] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6238), 1, + aux_sym_for_generate_statement_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [168992] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6240), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [169081] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6156), 1, + aux_sym_for_generate_statement_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [169170] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6242), 1, + aux_sym_for_generate_statement_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [169259] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6244), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [169348] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6246), 1, + aux_sym_if_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [169437] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6248), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [169526] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6250), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [169615] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6252), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [169704] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6254), 1, + aux_sym_inertial_expression_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [169793] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6256), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [169882] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6258), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [169971] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6260), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [170060] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6262), 1, + aux_sym_selected_waveform_assignment_token2, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [170149] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6264), 1, + aux_sym_loop_statement_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [170238] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6266), 1, + aux_sym_selected_waveform_assignment_token2, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [170327] = 13, + ACTIONS(1736), 1, + sym_extended_identifier, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + ACTIONS(6268), 1, + sym_basic_identifier, + ACTIONS(6278), 1, + aux_sym__predefined_designator_token26, + STATE(974), 1, + sym__range_designator, + STATE(2059), 1, + sym__simple_name, + STATE(2060), 1, + sym__predefined_designator, + STATE(7130), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6270), 2, + aux_sym_range_constraint_token1, + aux_sym__range_designator_token1, + ACTIONS(6274), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6276), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6272), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [170396] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6280), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [170485] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6282), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [170574] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6284), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [170663] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2171), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2173), 32, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [170712] = 13, + ACTIONS(1154), 1, + sym_extended_identifier, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + ACTIONS(6286), 1, + sym_basic_identifier, + ACTIONS(6294), 1, + aux_sym__predefined_designator_token26, + STATE(974), 1, + sym__range_designator, + STATE(2029), 1, + sym__simple_name, + STATE(2030), 1, + sym__predefined_designator, + STATE(7123), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6270), 2, + aux_sym_range_constraint_token1, + aux_sym__range_designator_token1, + ACTIONS(6290), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6292), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6288), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [170781] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6296), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [170870] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6298), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [170959] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6300), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [171048] = 13, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6210), 1, + sym_basic_identifier, + ACTIONS(6220), 1, + aux_sym__predefined_designator_token26, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + STATE(615), 1, + sym__predefined_designator, + STATE(617), 1, + sym__simple_name, + STATE(2095), 1, + sym__range_designator, + STATE(7004), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6302), 2, + aux_sym_range_constraint_token1, + aux_sym__range_designator_token1, + ACTIONS(6216), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6218), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6214), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [171117] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6304), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [171206] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6306), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [171295] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6308), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [171384] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6310), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [171473] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2185), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2187), 32, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_DOT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [171522] = 6, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6312), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + STATE(3282), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + ACTIONS(6314), 31, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [171577] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6316), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [171666] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6318), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [171755] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6320), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [171844] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6322), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [171933] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6324), 1, + aux_sym__when_clause_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [172022] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6326), 1, + aux_sym_entity_declaration_token2, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [172111] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6154), 1, + aux_sym_if_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [172200] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(5994), 1, + aux_sym_entity_declaration_token2, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [172289] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6328), 1, + aux_sym_for_generate_statement_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [172378] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6330), 1, + aux_sym_entity_declaration_token2, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [172467] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2198), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2200), 32, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [172516] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6332), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [172605] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6334), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [172694] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6336), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [172783] = 13, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6210), 1, + sym_basic_identifier, + ACTIONS(6220), 1, + aux_sym__predefined_designator_token26, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + STATE(615), 1, + sym__predefined_designator, + STATE(617), 1, + sym__simple_name, + STATE(3423), 1, + sym__range_designator, + STATE(7004), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6338), 2, + aux_sym_range_constraint_token1, + aux_sym__range_designator_token1, + ACTIONS(6216), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6218), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6214), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [172852] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6340), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [172941] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6342), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [173030] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6344), 1, + aux_sym_selected_waveform_assignment_token2, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [173119] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6346), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [173208] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6348), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [173297] = 10, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4858), 1, + anon_sym_LBRACK, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [173360] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6350), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [173449] = 13, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6210), 1, + sym_basic_identifier, + ACTIONS(6220), 1, + aux_sym__predefined_designator_token26, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + STATE(615), 1, + sym__predefined_designator, + STATE(617), 1, + sym__simple_name, + STATE(974), 1, + sym__range_designator, + STATE(7004), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6270), 2, + aux_sym_range_constraint_token1, + aux_sym__range_designator_token1, + ACTIONS(6216), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6218), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6214), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [173518] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6352), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [173607] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6354), 1, + aux_sym_selected_waveform_assignment_token2, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [173696] = 7, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(6026), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1386), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3234), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(3236), 26, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [173753] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6356), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [173842] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6358), 1, + aux_sym_for_generate_statement_token1, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [173931] = 23, + ACTIONS(4889), 1, + anon_sym_STAR_STAR, + ACTIONS(4891), 1, + anon_sym_PLUS, + ACTIONS(4893), 1, + anon_sym_DASH, + ACTIONS(4901), 1, + anon_sym_AMP, + ACTIONS(4905), 1, + aux_sym_reduction_token1, + ACTIONS(4907), 1, + aux_sym_reduction_token2, + ACTIONS(4909), 1, + aux_sym_reduction_token3, + ACTIONS(4913), 1, + aux_sym_reduction_token6, + ACTIONS(6360), 1, + anon_sym_RPAREN, + STATE(2499), 1, + aux_sym_logical_expression_repeat1, + STATE(2500), 1, + aux_sym_logical_expression_repeat2, + STATE(2501), 1, + aux_sym_logical_expression_repeat3, + STATE(2502), 1, + aux_sym_logical_expression_repeat4, + STATE(2505), 1, + aux_sym_simple_expression_repeat1, + STATE(2507), 1, + aux_sym_concatenation_repeat1, + STATE(2508), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4885), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4887), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4911), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4895), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4899), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4897), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [174020] = 23, + ACTIONS(4726), 1, + anon_sym_PLUS, + ACTIONS(4728), 1, + anon_sym_DASH, + ACTIONS(4736), 1, + anon_sym_AMP, + ACTIONS(4742), 1, + anon_sym_STAR_STAR, + ACTIONS(4808), 1, + aux_sym_reduction_token1, + ACTIONS(4810), 1, + aux_sym_reduction_token2, + ACTIONS(4812), 1, + aux_sym_reduction_token3, + ACTIONS(4816), 1, + aux_sym_reduction_token6, + ACTIONS(6362), 1, + anon_sym_SEMI, + STATE(2407), 1, + aux_sym_logical_expression_repeat4, + STATE(2408), 1, + aux_sym_simple_expression_repeat1, + STATE(2409), 1, + aux_sym_concatenation_repeat1, + STATE(2410), 1, + aux_sym_term_repeat1, + STATE(2411), 1, + aux_sym_logical_expression_repeat2, + STATE(2412), 1, + aux_sym_logical_expression_repeat1, + STATE(2436), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4738), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4740), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(4814), 2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + ACTIONS(4730), 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(4734), 6, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + ACTIONS(4732), 8, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + [174109] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2163), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2165), 32, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174158] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2167), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2169), 32, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174207] = 26, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1088), 1, + aux_sym_null_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6364), 1, + sym_basic_identifier, + ACTIONS(6366), 1, + anon_sym_LPAREN, + ACTIONS(6368), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(6370), 1, + sym_integer_decimal, + STATE(981), 1, + sym_string_literal, + STATE(4469), 1, + sym_ambiguous_name, + STATE(4523), 1, + sym_attribute_name, + STATE(4529), 1, + sym_function_call, + STATE(5211), 1, + sym_slice_name, + STATE(6347), 1, + sym__primary, + STATE(6927), 1, + sym_type_mark, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1100), 2, + aux_sym_bit_string_literal_token1, + aux_sym_bit_string_literal_token3, + ACTIONS(1102), 2, + aux_sym_bit_string_literal_token2, + aux_sym_bit_string_literal_token4, + STATE(4468), 2, + sym__simple_name, + sym_selected_name, + ACTIONS(6372), 3, + sym_real_decimal, + sym_based_integer, + sym_based_real, + STATE(4522), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(1701), 8, + sym_physical_literal, + sym_parenthesized_expression, + sym_null, + sym_aggregate, + sym_qualified_expression, + sym_allocator, + sym_character_literal, + sym_bit_string_literal, + [174301] = 6, + STATE(3405), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6374), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6377), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + ACTIONS(5257), 28, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174355] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2202), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2204), 31, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174403] = 6, + STATE(3405), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5609), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5611), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + ACTIONS(5200), 28, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174457] = 5, + ACTIONS(5607), 1, + anon_sym_AMP, + STATE(3422), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174509] = 4, + ACTIONS(2242), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174559] = 6, + ACTIONS(5597), 1, + anon_sym_PLUS, + ACTIONS(5599), 1, + anon_sym_DASH, + STATE(3425), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 7, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 29, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174613] = 5, + ACTIONS(5655), 1, + aux_sym_reduction_token6, + STATE(3426), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 29, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174665] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2179), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2181), 31, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174713] = 4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6380), 3, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2185), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2187), 28, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_EQ_GT, + anon_sym_DOT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [174763] = 5, + ACTIONS(5651), 1, + aux_sym_reduction_token3, + STATE(3427), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 29, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174815] = 6, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(5031), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 28, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [174869] = 5, + ACTIONS(5649), 1, + aux_sym_reduction_token2, + STATE(3421), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 29, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174921] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2175), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2177), 31, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [174969] = 26, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1088), 1, + aux_sym_null_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6364), 1, + sym_basic_identifier, + ACTIONS(6366), 1, + anon_sym_LPAREN, + ACTIONS(6370), 1, + sym_integer_decimal, + ACTIONS(6383), 1, + aux_sym_procedure_instantiation_declaration_token1, + STATE(981), 1, + sym_string_literal, + STATE(4469), 1, + sym_ambiguous_name, + STATE(4523), 1, + sym_attribute_name, + STATE(4529), 1, + sym_function_call, + STATE(5211), 1, + sym_slice_name, + STATE(6927), 1, + sym_type_mark, + STATE(6990), 1, + sym__primary, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1100), 2, + aux_sym_bit_string_literal_token1, + aux_sym_bit_string_literal_token3, + ACTIONS(1102), 2, + aux_sym_bit_string_literal_token2, + aux_sym_bit_string_literal_token4, + STATE(4468), 2, + sym__simple_name, + sym_selected_name, + ACTIONS(6372), 3, + sym_real_decimal, + sym_based_integer, + sym_based_real, + STATE(4522), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(1701), 8, + sym_physical_literal, + sym_parenthesized_expression, + sym_null, + sym_aggregate, + sym_qualified_expression, + sym_allocator, + sym_character_literal, + sym_bit_string_literal, + [175063] = 5, + ACTIONS(5647), 1, + aux_sym_reduction_token1, + STATE(3420), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 29, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175115] = 5, + ACTIONS(6385), 1, + aux_sym_reduction_token1, + STATE(3420), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 29, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175167] = 5, + ACTIONS(6388), 1, + aux_sym_reduction_token2, + STATE(3421), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 29, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175219] = 5, + ACTIONS(6391), 1, + anon_sym_AMP, + STATE(3422), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175271] = 4, + ACTIONS(6394), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2214), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2216), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175321] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2220), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2222), 31, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175369] = 6, + ACTIONS(6396), 1, + anon_sym_PLUS, + ACTIONS(6399), 1, + anon_sym_DASH, + STATE(3425), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 7, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 29, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175423] = 5, + ACTIONS(6402), 1, + aux_sym_reduction_token6, + STATE(3426), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 29, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175475] = 5, + ACTIONS(6405), 1, + aux_sym_reduction_token3, + STATE(3427), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 29, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175527] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3091), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5843), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5845), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [175578] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2962), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2964), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175625] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3516), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3518), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175672] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3384), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3386), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175719] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3182), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3184), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175766] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3198), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3200), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175813] = 5, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(5031), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 29, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [175864] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4268), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4270), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175911] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3234), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3236), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [175958] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3536), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3538), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [176005] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3114), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6410), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(6413), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [176056] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3540), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3542), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [176103] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3116), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6416), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(6418), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [176154] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3094), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5851), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5854), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [176205] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3113), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6420), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(6422), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [176256] = 7, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(5186), 1, + anon_sym_COMMA, + ACTIONS(6424), 1, + anon_sym_RPAREN, + STATE(5381), 1, + aux_sym_group_constituent_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [176311] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3095), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6427), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(6429), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [176362] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3532), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3534), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [176409] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3030), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3032), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [176456] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3121), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6431), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(6433), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [176507] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2746), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2748), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [176554] = 5, + ACTIONS(6380), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2177), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2185), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2187), 28, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + anon_sym_DOT, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [176605] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3109), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6435), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(6437), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [176656] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3106), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6439), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(6442), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [176707] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4396), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4398), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [176754] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3088), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6445), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(6447), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [176805] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3952), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(3954), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [176852] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [176899] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4476), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4478), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [176946] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3089), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5782), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5784), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [176997] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3067), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5837), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5840), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177048] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3092), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5810), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5812), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177099] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4480), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(4482), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [177146] = 5, + ACTIONS(6408), 1, + anon_sym_SEMI, + STATE(3093), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5847), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5849), 33, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177197] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2750), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_AMP, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2752), 30, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [177244] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4150), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4148), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177290] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3642), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3640), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177336] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3958), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3956), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177382] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2414), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2412), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177428] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3566), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3564), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177474] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3526), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3524), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177520] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2474), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2472), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177566] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2704), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2702), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177612] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2482), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2480), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177658] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2486), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2484), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177704] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3558), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3556), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177750] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4446), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4444), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177796] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3638), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3636), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177842] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4442), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4440), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177888] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3422), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3420), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177934] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3362), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3360), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [177980] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3814), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3812), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178026] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3324), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3322), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178072] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3008), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3006), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178118] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3986), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3984), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178164] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3562), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3560), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178210] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3794), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3792), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178256] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3336), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3334), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178302] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3642), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3640), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178348] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3180), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3178), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178394] = 11, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + ACTIONS(6449), 1, + sym_basic_identifier, + ACTIONS(6457), 1, + aux_sym__predefined_designator_token26, + ACTIONS(6459), 1, + sym_extended_identifier, + STATE(2799), 1, + sym__simple_name, + STATE(2832), 1, + sym__predefined_designator, + STATE(7135), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6453), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6455), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6451), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [178456] = 11, + ACTIONS(1736), 1, + sym_extended_identifier, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + ACTIONS(6268), 1, + sym_basic_identifier, + ACTIONS(6278), 1, + aux_sym__predefined_designator_token26, + STATE(2059), 1, + sym__simple_name, + STATE(2060), 1, + sym__predefined_designator, + STATE(7130), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6274), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6276), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6272), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [178518] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3526), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3524), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178564] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2596), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2594), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178610] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4490), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4488), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178656] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4150), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4148), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178702] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4074), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4072), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178748] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4514), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4512), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178794] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4218), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4216), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178840] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3264), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3262), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178886] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3634), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3632), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178932] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4082), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4080), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [178978] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2860), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2858), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179024] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3260), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3258), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179070] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3626), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3624), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179116] = 11, + ACTIONS(1872), 1, + sym_extended_identifier, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + ACTIONS(6461), 1, + sym_basic_identifier, + ACTIONS(6469), 1, + aux_sym__predefined_designator_token26, + STATE(3252), 1, + sym__simple_name, + STATE(3253), 1, + sym__predefined_designator, + STATE(7139), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6465), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6467), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6463), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [179178] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3822), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3820), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179224] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3252), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3250), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179270] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3398), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3396), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179316] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3378), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3376), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179362] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3224), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3222), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179408] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3028), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3026), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179454] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3906), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3904), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179500] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3522), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3520), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179546] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4194), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4192), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179592] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3168), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3166), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179638] = 11, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6210), 1, + sym_basic_identifier, + ACTIONS(6220), 1, + aux_sym__predefined_designator_token26, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + STATE(615), 1, + sym__predefined_designator, + STATE(617), 1, + sym__simple_name, + STATE(7004), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6216), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6218), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6214), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [179700] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4378), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4376), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179746] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3112), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3110), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179792] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4494), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4492), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179838] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4198), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4196), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179884] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4202), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4200), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179930] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4550), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4548), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [179976] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3354), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3352), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180022] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4090), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4088), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180068] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2912), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2910), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180114] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4010), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4008), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180160] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3514), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3512), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180206] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3052), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3050), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180252] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2768), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2766), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180298] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3654), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3652), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180344] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3646), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3644), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180390] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2442), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2440), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180436] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3248), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3246), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180482] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2852), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2850), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180528] = 11, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + ACTIONS(6268), 1, + sym_basic_identifier, + ACTIONS(6278), 1, + aux_sym__predefined_designator_token26, + ACTIONS(6459), 1, + sym_extended_identifier, + STATE(2059), 1, + sym__simple_name, + STATE(2060), 1, + sym__predefined_designator, + STATE(7130), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6274), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6276), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6272), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [180590] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3982), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3980), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180636] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3216), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3214), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180682] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4030), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4028), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180728] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3164), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3162), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180774] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2864), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2862), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180820] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3926), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3924), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180866] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3116), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3114), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180912] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3598), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3596), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [180958] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3100), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3098), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181004] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4106), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4104), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181050] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3594), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3592), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181096] = 11, + ACTIONS(1154), 1, + sym_extended_identifier, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + ACTIONS(6286), 1, + sym_basic_identifier, + ACTIONS(6294), 1, + aux_sym__predefined_designator_token26, + STATE(2029), 1, + sym__simple_name, + STATE(2030), 1, + sym__predefined_designator, + STATE(7123), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6290), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6292), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6288), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [181158] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3084), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3082), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181204] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3076), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3074), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181250] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4110), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4108), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181296] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4186), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4184), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181342] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4206), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4204), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181388] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3506), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3504), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181434] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2414), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2412), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181480] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4234), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4232), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181526] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4246), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4244), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181572] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4094), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4092), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181618] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3570), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3568), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181664] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3434), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3432), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181710] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4106), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4104), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181756] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4038), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4036), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181802] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2868), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2866), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181848] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4034), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4032), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181894] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4186), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4184), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181940] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3502), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3500), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [181986] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3430), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3428), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182032] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3746), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3744), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182078] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3718), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3716), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182124] = 11, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + ACTIONS(6471), 1, + sym_basic_identifier, + ACTIONS(6479), 1, + aux_sym__predefined_designator_token26, + STATE(3671), 1, + sym__simple_name, + STATE(3672), 1, + sym__predefined_designator, + STATE(6991), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6475), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6477), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6473), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [182186] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4014), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4012), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182232] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4022), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4020), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182278] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3196), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3194), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182324] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2624), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2622), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182370] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3192), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3190), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182416] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2636), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2634), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182462] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3208), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3206), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182508] = 5, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6481), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2029), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_EQ2, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 26, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [182558] = 5, + ACTIONS(2242), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6481), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 27, + aux_sym_ascending_range_token1, + aux_sym_descending_range_token1, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [182608] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3196), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3194), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182654] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3192), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3190), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182700] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3582), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3580), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182746] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4042), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4040), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182792] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4250), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4248), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182838] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3120), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3118), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182884] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3136), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3134), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182930] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3418), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3416), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [182976] = 11, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6222), 1, + aux_sym__predefined_designator_with_expression_token1, + ACTIONS(6471), 1, + sym_basic_identifier, + ACTIONS(6479), 1, + aux_sym__predefined_designator_token26, + STATE(3671), 1, + sym__simple_name, + STATE(3672), 1, + sym__predefined_designator, + STATE(6991), 1, + sym__predefined_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6475), 3, + aux_sym__predefined_designator_token2, + aux_sym__predefined_designator_token3, + aux_sym__predefined_designator_token8, + ACTIONS(6477), 13, + aux_sym__predefined_designator_token4, + aux_sym__predefined_designator_token5, + aux_sym__predefined_designator_token6, + aux_sym__predefined_designator_token7, + aux_sym__predefined_designator_token9, + aux_sym__predefined_designator_token10, + aux_sym__predefined_designator_token11, + aux_sym__predefined_designator_token12, + aux_sym__predefined_designator_token13, + aux_sym__predefined_designator_token14, + aux_sym__predefined_designator_token17, + aux_sym__predefined_designator_token18, + aux_sym__predefined_designator_token19, + ACTIONS(6473), 14, + aux_sym_subtype_declaration_token1, + aux_sym__predefined_designator_token1, + aux_sym__predefined_designator_token15, + aux_sym__predefined_designator_token16, + aux_sym__predefined_designator_token20, + aux_sym__predefined_designator_token21, + aux_sym__predefined_designator_token22, + aux_sym__predefined_designator_token23, + aux_sym__predefined_designator_token24, + aux_sym__predefined_designator_token25, + aux_sym__predefined_designator_token27, + aux_sym__predefined_designator_token28, + aux_sym__predefined_designator_token29, + aux_sym__predefined_designator_token30, + [183038] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3350), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3348), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [183084] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2756), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2754), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [183130] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3044), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3042), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [183176] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2756), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(2754), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [183222] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3040), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(3038), 32, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_default_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_elsif_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_process_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [183268] = 6, + STATE(3592), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5893), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5895), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 26, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183319] = 6, + STATE(3592), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6484), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6487), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 26, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183370] = 5, + ACTIONS(6490), 1, + anon_sym_AMP, + STATE(3593), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183419] = 6, + ACTIONS(6493), 1, + anon_sym_PLUS, + ACTIONS(6496), 1, + anon_sym_DASH, + STATE(3594), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183470] = 5, + ACTIONS(6499), 1, + aux_sym_reduction_token6, + STATE(3595), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183519] = 5, + ACTIONS(6502), 1, + aux_sym_reduction_token3, + STATE(3596), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183568] = 5, + ACTIONS(6505), 1, + aux_sym_reduction_token2, + STATE(3597), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183617] = 5, + ACTIONS(6508), 1, + aux_sym_reduction_token1, + STATE(3598), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183666] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6511), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(6513), 31, + aux_sym_entity_declaration_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_open_token1, + aux_sym_component_declaration_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [183711] = 5, + ACTIONS(5891), 1, + anon_sym_AMP, + STATE(3593), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183760] = 6, + ACTIONS(5881), 1, + anon_sym_PLUS, + ACTIONS(5883), 1, + anon_sym_DASH, + STATE(3594), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183811] = 5, + ACTIONS(5879), 1, + aux_sym_reduction_token6, + STATE(3595), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183860] = 5, + ACTIONS(5875), 1, + aux_sym_reduction_token3, + STATE(3596), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183909] = 5, + ACTIONS(5873), 1, + aux_sym_reduction_token2, + STATE(3597), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [183958] = 5, + ACTIONS(5871), 1, + aux_sym_reduction_token1, + STATE(3598), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 27, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + aux_sym__report_token1, + [184007] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6515), 5, + sym_basic_identifier, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(6517), 31, + aux_sym_entity_declaration_token1, + aux_sym_configuration_declaration_token1, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + aux_sym_return_token1, + aux_sym_open_token1, + aux_sym_component_declaration_token1, + anon_sym_LT_LT, + aux_sym_null_token1, + aux_sym_wait_statement_token1, + aux_sym_assertion_statement_token1, + aux_sym_assertion_statement_token2, + aux_sym__report_token1, + aux_sym_selected_waveform_assignment_token1, + aux_sym_if_statement_token1, + aux_sym_case_statement_token1, + aux_sym_loop_statement_token1, + aux_sym_while_loop_token1, + aux_sym_next_statement_token1, + aux_sym_exit_statement_token1, + aux_sym_block_statement_token1, + aux_sym_process_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [184052] = 5, + ACTIONS(6519), 1, + aux_sym_reduction_token6, + STATE(3607), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5284), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [184100] = 5, + ACTIONS(6522), 1, + aux_sym_reduction_token2, + STATE(3608), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5244), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [184148] = 5, + ACTIONS(6088), 1, + aux_sym_reduction_token2, + STATE(3617), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [184196] = 6, + ACTIONS(5972), 1, + anon_sym_PLUS, + ACTIONS(5974), 1, + anon_sym_DASH, + STATE(3628), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5192), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [184246] = 5, + ACTIONS(5982), 1, + anon_sym_AMP, + STATE(3612), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5196), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [184294] = 5, + ACTIONS(6525), 1, + anon_sym_AMP, + STATE(3612), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5267), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [184342] = 5, + ACTIONS(6528), 1, + aux_sym_reduction_token6, + STATE(3613), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5289), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5284), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [184390] = 5, + ACTIONS(6090), 1, + aux_sym_reduction_token3, + STATE(3622), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [184438] = 5, + ACTIONS(6086), 1, + aux_sym_reduction_token1, + STATE(3616), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [184486] = 5, + ACTIONS(6531), 1, + aux_sym_reduction_token1, + STATE(3616), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5231), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [184534] = 5, + ACTIONS(6534), 1, + aux_sym_reduction_token2, + STATE(3617), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5249), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5244), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [184582] = 6, + STATE(3627), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5984), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5986), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5200), 25, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + [184632] = 5, + ACTIONS(6062), 1, + aux_sym_reduction_token3, + STATE(3631), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5188), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [184680] = 5, + ACTIONS(6066), 1, + aux_sym_reduction_token6, + STATE(3607), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5188), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [184728] = 6, + ACTIONS(6068), 1, + anon_sym_PLUS, + ACTIONS(6070), 1, + anon_sym_DASH, + STATE(3630), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5194), 7, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5192), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [184778] = 5, + ACTIONS(6537), 1, + aux_sym_reduction_token3, + STATE(3622), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5206), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [184826] = 5, + ACTIONS(6094), 1, + aux_sym_reduction_token6, + STATE(3613), 1, + aux_sym_logical_expression_repeat4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5188), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [184874] = 27, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6540), 1, + sym_basic_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6544), 1, + aux_sym__in_token1, + STATE(3646), 1, + sym_string_literal, + STATE(3783), 1, + sym__constant_mode, + STATE(3791), 1, + sym__signal_mode, + STATE(3792), 1, + sym__variable_mode, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(4685), 1, + sym_subtype_indication, + STATE(4716), 1, + sym__in, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6546), 2, + aux_sym__out_token1, + aux_sym__inout_token1, + ACTIONS(6548), 2, + aux_sym__buffer_token1, + aux_sym__linkage_token1, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(4713), 2, + sym__out, + sym__inout, + STATE(4721), 2, + sym__buffer, + sym__linkage, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [184966] = 5, + ACTIONS(6058), 1, + aux_sym_reduction_token1, + STATE(3633), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5188), 25, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [185014] = 6, + STATE(3626), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6550), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6553), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + sym_basic_identifier, + ACTIONS(5257), 24, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + sym_extended_identifier, + [185064] = 6, + STATE(3627), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6556), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6559), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5259), 5, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + ACTIONS(5257), 25, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + [185114] = 6, + ACTIONS(6562), 1, + anon_sym_PLUS, + ACTIONS(6565), 1, + anon_sym_DASH, + STATE(3628), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 6, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(5274), 26, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [185164] = 5, + ACTIONS(6568), 1, + anon_sym_AMP, + STATE(3629), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5269), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5267), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [185212] = 6, + ACTIONS(6571), 1, + anon_sym_PLUS, + ACTIONS(6574), 1, + anon_sym_DASH, + STATE(3630), 1, + aux_sym_simple_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5282), 7, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5274), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [185262] = 5, + ACTIONS(6577), 1, + aux_sym_reduction_token3, + STATE(3631), 1, + aux_sym_logical_expression_repeat3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5211), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5206), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [185310] = 5, + ACTIONS(6060), 1, + aux_sym_reduction_token2, + STATE(3608), 1, + aux_sym_logical_expression_repeat2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5190), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5188), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [185358] = 5, + ACTIONS(6580), 1, + aux_sym_reduction_token1, + STATE(3633), 1, + aux_sym_logical_expression_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5236), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5231), 25, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [185406] = 5, + ACTIONS(2242), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6583), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [185454] = 5, + ACTIONS(6078), 1, + anon_sym_AMP, + STATE(3629), 1, + aux_sym_concatenation_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5198), 8, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + sym_basic_identifier, + ACTIONS(5196), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + sym_extended_identifier, + [185502] = 6, + STATE(3626), 1, + aux_sym_term_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6080), 2, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(6082), 2, + aux_sym_term_token1, + aux_sym_term_token2, + ACTIONS(5202), 6, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + sym_basic_identifier, + ACTIONS(5200), 24, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + anon_sym_STAR_STAR, + sym_extended_identifier, + [185552] = 4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6583), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(2029), 7, + anon_sym_DASH, + anon_sym_LT, + anon_sym_GT, + anon_sym_QMARK_LT, + anon_sym_QMARK_GT, + anon_sym_STAR, + anon_sym_SLASH, + ACTIONS(2031), 25, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + anon_sym_PLUS, + anon_sym_EQ2, + anon_sym_LT_EQ, + anon_sym_GT_EQ, + anon_sym_SLASH_EQ, + anon_sym_QMARK_EQ, + anon_sym_QMARK_LT_EQ, + anon_sym_QMARK_GT_EQ, + anon_sym_QMARK_SLASH_EQ, + aux_sym_shift_expression_token1, + aux_sym_shift_expression_token2, + aux_sym_shift_expression_token3, + aux_sym_shift_expression_token4, + aux_sym_shift_expression_token5, + aux_sym_shift_expression_token6, + anon_sym_AMP, + aux_sym_term_token1, + aux_sym_term_token2, + anon_sym_STAR_STAR, + [185597] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6586), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4632), 31, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [185640] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6588), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4636), 31, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [185683] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6590), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4630), 31, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [185726] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6592), 3, + sym_basic_identifier, + aux_sym_PSL_Assume_Directive_token1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(4634), 31, + aux_sym_entity_declaration_token3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_shared_variable_declaration_token1, + aux_sym_default_token1, + aux_sym_alias_declaration_token1, + aux_sym_attribute_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + aux_sym_binding_indication_token1, + aux_sym_disconnection_specification_token1, + aux_sym_assertion_statement_token2, + sym_extended_identifier, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + aux_sym_PSL_Cover_Directive_token1, + aux_sym_PSL_Fairness_Directive_token1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + [185769] = 22, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6540), 1, + sym_basic_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6594), 1, + aux_sym__in_token1, + STATE(3646), 1, + sym_string_literal, + STATE(3785), 1, + sym__signal_mode, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5331), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + ACTIONS(6596), 4, + aux_sym__out_token1, + aux_sym__inout_token1, + aux_sym__buffer_token1, + aux_sym__linkage_token1, + STATE(4714), 5, + sym__in, + sym__out, + sym__inout, + sym__buffer, + sym__linkage, + [185849] = 23, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6540), 1, + sym_basic_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6598), 1, + aux_sym__in_token1, + STATE(3646), 1, + sym_string_literal, + STATE(3735), 1, + sym__constant_mode, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(4717), 1, + sym__in, + STATE(5330), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + ACTIONS(6600), 4, + aux_sym__out_token1, + aux_sym__inout_token1, + aux_sym__buffer_token1, + aux_sym__linkage_token1, + STATE(4686), 4, + sym__out, + sym__inout, + sym__buffer, + sym__linkage, + [185931] = 22, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6540), 1, + sym_basic_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6594), 1, + aux_sym__in_token1, + STATE(3646), 1, + sym_string_literal, + STATE(3733), 1, + sym__signal_mode, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(4664), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + ACTIONS(6596), 4, + aux_sym__out_token1, + aux_sym__inout_token1, + aux_sym__buffer_token1, + aux_sym__linkage_token1, + STATE(4714), 5, + sym__in, + sym__out, + sym__inout, + sym__buffer, + sym__linkage, + [186011] = 24, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6540), 1, + sym_basic_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6602), 1, + aux_sym__in_token1, + STATE(3646), 1, + sym_string_literal, + STATE(3739), 1, + sym__variable_mode, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5329), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6604), 2, + aux_sym__out_token1, + aux_sym__inout_token1, + ACTIONS(6606), 2, + aux_sym__buffer_token1, + aux_sym__linkage_token1, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(4736), 2, + sym__buffer, + sym__linkage, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(4735), 3, + sym__in, + sym__out, + sym__inout, + [186095] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2240), 3, + anon_sym_COLON, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2242), 28, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + [186135] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2236), 3, + anon_sym_COLON, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2238), 27, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + [186174] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6608), 7, + anon_sym_DASH, + sym_basic_identifier, + sym_integer_decimal, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_bit_string_literal_token2, + aux_sym_bit_string_literal_token4, + ACTIONS(6610), 23, + anon_sym_LPAREN, + aux_sym_procedure_instantiation_declaration_token1, + anon_sym_LT_LT, + anon_sym_QMARK_QMARK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym_factor_token1, + aux_sym_factor_token2, + anon_sym_PLUS, + aux_sym_null_token1, + aux_sym_unaffected_token1, + sym_extended_identifier, + sym_real_decimal, + sym_based_integer, + sym_based_real, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_bit_string_literal_token1, + aux_sym_bit_string_literal_token3, + [186213] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6612), 7, + anon_sym_DASH, + sym_basic_identifier, + sym_integer_decimal, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_bit_string_literal_token2, + aux_sym_bit_string_literal_token4, + ACTIONS(6614), 23, + anon_sym_LPAREN, + aux_sym_procedure_instantiation_declaration_token1, + anon_sym_LT_LT, + anon_sym_QMARK_QMARK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym_factor_token1, + aux_sym_factor_token2, + anon_sym_PLUS, + aux_sym_null_token1, + aux_sym_unaffected_token1, + sym_extended_identifier, + sym_real_decimal, + sym_based_integer, + sym_based_real, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_bit_string_literal_token1, + aux_sym_bit_string_literal_token3, + [186252] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1984), 3, + anon_sym_COLON, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1986), 27, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + [186291] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6616), 7, + anon_sym_DASH, + sym_basic_identifier, + sym_integer_decimal, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_bit_string_literal_token2, + aux_sym_bit_string_literal_token4, + ACTIONS(6618), 23, + anon_sym_LPAREN, + aux_sym_procedure_instantiation_declaration_token1, + anon_sym_LT_LT, + anon_sym_QMARK_QMARK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym_factor_token1, + aux_sym_factor_token2, + anon_sym_PLUS, + aux_sym_null_token1, + aux_sym_unaffected_token1, + sym_extended_identifier, + sym_real_decimal, + sym_based_integer, + sym_based_real, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_bit_string_literal_token1, + aux_sym_bit_string_literal_token3, + [186330] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2004), 3, + anon_sym_COLON, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2006), 27, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + [186369] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1988), 3, + anon_sym_COLON, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1990), 27, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + aux_sym_string_literal_token1, + [186408] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6620), 7, + anon_sym_DASH, + sym_basic_identifier, + sym_integer_decimal, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_bit_string_literal_token2, + aux_sym_bit_string_literal_token4, + ACTIONS(6622), 23, + anon_sym_SEMI, + anon_sym_LPAREN, + aux_sym_procedure_instantiation_declaration_token1, + anon_sym_LT_LT, + anon_sym_QMARK_QMARK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym_factor_token1, + aux_sym_factor_token2, + anon_sym_PLUS, + aux_sym_null_token1, + sym_extended_identifier, + sym_real_decimal, + sym_based_integer, + sym_based_real, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_bit_string_literal_token1, + aux_sym_bit_string_literal_token3, + [186447] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6624), 7, + anon_sym_DASH, + sym_basic_identifier, + sym_integer_decimal, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_bit_string_literal_token2, + aux_sym_bit_string_literal_token4, + ACTIONS(6626), 23, + anon_sym_LPAREN, + aux_sym_procedure_instantiation_declaration_token1, + anon_sym_LT_LT, + anon_sym_QMARK_QMARK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym_factor_token1, + aux_sym_factor_token2, + anon_sym_PLUS, + aux_sym_null_token1, + aux_sym_unaffected_token1, + sym_extended_identifier, + sym_real_decimal, + sym_based_integer, + sym_based_real, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_bit_string_literal_token1, + aux_sym_bit_string_literal_token3, + [186486] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6515), 7, + anon_sym_DASH, + sym_basic_identifier, + sym_integer_decimal, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_bit_string_literal_token2, + aux_sym_bit_string_literal_token4, + ACTIONS(6517), 23, + anon_sym_LPAREN, + aux_sym_procedure_instantiation_declaration_token1, + anon_sym_LT_LT, + anon_sym_QMARK_QMARK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym_factor_token1, + aux_sym_factor_token2, + anon_sym_PLUS, + aux_sym_null_token1, + aux_sym_others_token1, + sym_extended_identifier, + sym_real_decimal, + sym_based_integer, + sym_based_real, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_bit_string_literal_token1, + aux_sym_bit_string_literal_token3, + [186525] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6511), 7, + anon_sym_DASH, + sym_basic_identifier, + sym_integer_decimal, + aux_sym_character_literal_token2, + aux_sym_string_literal_token2, + aux_sym_bit_string_literal_token2, + aux_sym_bit_string_literal_token4, + ACTIONS(6513), 23, + anon_sym_LPAREN, + aux_sym_procedure_instantiation_declaration_token1, + anon_sym_LT_LT, + anon_sym_QMARK_QMARK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym_reduction_token3, + aux_sym_reduction_token4, + aux_sym_reduction_token5, + aux_sym_reduction_token6, + aux_sym_factor_token1, + aux_sym_factor_token2, + anon_sym_PLUS, + aux_sym_null_token1, + aux_sym_others_token1, + sym_extended_identifier, + sym_real_decimal, + sym_based_integer, + sym_based_real, + aux_sym_character_literal_token1, + aux_sym_string_literal_token1, + aux_sym_bit_string_literal_token1, + aux_sym_bit_string_literal_token3, + [186564] = 24, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2196), 1, + anon_sym_RPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6628), 1, + sym_basic_identifier, + ACTIONS(6630), 1, + anon_sym_LPAREN, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3646), 1, + sym_string_literal, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5285), 1, + sym_signature, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4373), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(5690), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + [186643] = 20, + ACTIONS(29), 1, + aux_sym_open_token1, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(311), 1, + aux_sym_entity_declaration_token1, + ACTIONS(318), 1, + aux_sym_configuration_declaration_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1211), 1, + aux_sym_component_declaration_token1, + ACTIONS(6634), 1, + sym_basic_identifier, + ACTIONS(6636), 1, + aux_sym_verification_unit_binding_indication_token1, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4351), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(4575), 5, + sym_open, + sym__entity_aspect, + sym_entity_instantiation, + sym_configuration_instantiation, + sym_component_instantiation, + [186712] = 19, + ACTIONS(29), 1, + aux_sym_open_token1, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(311), 1, + aux_sym_entity_declaration_token1, + ACTIONS(318), 1, + aux_sym_configuration_declaration_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1211), 1, + aux_sym_component_declaration_token1, + ACTIONS(6634), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4351), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(4575), 5, + sym_open, + sym__entity_aspect, + sym_entity_instantiation, + sym_configuration_instantiation, + sym_component_instantiation, + [186778] = 22, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(615), 1, + aux_sym_others_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6638), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4355), 1, + sym_ambiguous_name, + STATE(4402), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5098), 1, + sym_slice_name, + STATE(5423), 1, + sym_character_literal, + STATE(5783), 1, + sym_guarded_signal_specification, + STATE(7249), 1, + sym_signal_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4356), 2, + sym__simple_name, + sym_selected_name, + STATE(7251), 2, + sym_all, + sym_others, + STATE(4401), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [186850] = 19, + ACTIONS(29), 1, + aux_sym_open_token1, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(311), 1, + aux_sym_entity_declaration_token1, + ACTIONS(318), 1, + aux_sym_configuration_declaration_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1211), 1, + aux_sym_component_declaration_token1, + ACTIONS(6634), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4351), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(4546), 5, + sym_open, + sym__entity_aspect, + sym_entity_instantiation, + sym_configuration_instantiation, + sym_component_instantiation, + [186916] = 22, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(615), 1, + aux_sym_others_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6638), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4355), 1, + sym_ambiguous_name, + STATE(4402), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5098), 1, + sym_slice_name, + STATE(5423), 1, + sym_character_literal, + STATE(5870), 1, + sym_guarded_signal_specification, + STATE(7249), 1, + sym_signal_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4356), 2, + sym__simple_name, + sym_selected_name, + STATE(7251), 2, + sym_all, + sym_others, + STATE(4401), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [186988] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187021] = 18, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6642), 1, + anon_sym_RPAREN, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5577), 4, + sym_constant_interface_declaration, + sym_signal_interface_declaration, + sym_variable_interface_declaration, + sym_file_interface_declaration, + STATE(5578), 4, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + [187084] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2114), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2116), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187117] = 4, + ACTIONS(2118), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2114), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2116), 21, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187152] = 18, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(6664), 1, + anon_sym_RPAREN, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5671), 4, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + STATE(5672), 4, + sym_constant_interface_declaration, + sym_signal_interface_declaration, + sym_variable_interface_declaration, + sym_file_interface_declaration, + [187215] = 18, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(6666), 1, + anon_sym_RPAREN, + STATE(5364), 1, + sym_signal_interface_declaration, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5363), 7, + sym_constant_interface_declaration, + sym_variable_interface_declaration, + sym_file_interface_declaration, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + [187278] = 18, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(6668), 1, + anon_sym_RPAREN, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5361), 3, + sym_signal_interface_declaration, + sym_variable_interface_declaration, + sym_file_interface_declaration, + STATE(5360), 5, + sym_constant_interface_declaration, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + [187341] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2093), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2095), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187374] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2089), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2091), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187407] = 18, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(6670), 1, + anon_sym_RPAREN, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5661), 3, + sym_constant_interface_declaration, + sym_signal_interface_declaration, + sym_file_interface_declaration, + STATE(5660), 5, + sym_variable_interface_declaration, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + [187470] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187503] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187536] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187569] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187602] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187635] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187668] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187701] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187734] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187767] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187800] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187833] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187866] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187899] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2065), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2067), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187932] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2063), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187965] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2063), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [187998] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2061), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2063), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [188031] = 18, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(6672), 1, + anon_sym_RPAREN, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5634), 3, + sym_signal_interface_declaration, + sym_variable_interface_declaration, + sym_file_interface_declaration, + STATE(5633), 5, + sym_constant_interface_declaration, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + [188094] = 18, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(6674), 1, + anon_sym_RPAREN, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5583), 3, + sym_constant_interface_declaration, + sym_signal_interface_declaration, + sym_file_interface_declaration, + STATE(5584), 5, + sym_variable_interface_declaration, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + [188157] = 18, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(6676), 1, + anon_sym_RPAREN, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(5638), 1, + sym_signal_interface_declaration, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5637), 7, + sym_constant_interface_declaration, + sym_variable_interface_declaration, + sym_file_interface_declaration, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + [188220] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2131), 2, + anon_sym_COLON, + sym_basic_identifier, + ACTIONS(2133), 22, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_SQUOTE, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + aux_sym__after_token1, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [188253] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6678), 1, + sym_basic_identifier, + ACTIONS(6680), 1, + sym_extended_identifier, + STATE(2783), 1, + sym_attribute_name, + STATE(2821), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4574), 1, + sym_ambiguous_name, + STATE(4676), 1, + sym_function_call, + STATE(5822), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2039), 2, + sym__simple_name, + sym_selected_name, + STATE(2271), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3886), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4641), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [188315] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6680), 1, + sym_extended_identifier, + ACTIONS(6682), 1, + sym_basic_identifier, + STATE(2153), 1, + sym_type_mark, + STATE(2179), 1, + sym_attribute_name, + STATE(3646), 1, + sym_string_literal, + STATE(4566), 1, + sym_ambiguous_name, + STATE(4678), 1, + sym_function_call, + STATE(5808), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1887), 2, + sym__simple_name, + sym_selected_name, + STATE(2271), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3882), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4670), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [188377] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6684), 1, + sym_basic_identifier, + ACTIONS(6686), 1, + sym_extended_identifier, + STATE(3018), 1, + sym_attribute_name, + STATE(3049), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4544), 1, + sym_ambiguous_name, + STATE(4636), 1, + sym_function_call, + STATE(5804), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2422), 2, + sym__simple_name, + sym_selected_name, + STATE(3446), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3895), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4665), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [188439] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1164), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6688), 1, + sym_basic_identifier, + STATE(3104), 1, + sym_attribute_name, + STATE(3260), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4551), 1, + sym_ambiguous_name, + STATE(4673), 1, + sym_function_call, + STATE(5850), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1238), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(2907), 2, + sym__simple_name, + sym_selected_name, + STATE(3885), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4659), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [188501] = 20, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + ACTIONS(6692), 1, + anon_sym_QMARK, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(5701), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [188567] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6694), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4467), 1, + sym_attribute_name, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4617), 1, + sym_type_mark, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1238), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3994), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [188629] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6696), 1, + sym_basic_identifier, + ACTIONS(6698), 1, + sym_extended_identifier, + STATE(1898), 1, + sym_attribute_name, + STATE(2457), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4587), 1, + sym_ambiguous_name, + STATE(4638), 1, + sym_function_call, + STATE(5832), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1763), 2, + sym__simple_name, + sym_selected_name, + STATE(2289), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3867), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4631), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [188691] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1164), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6700), 1, + sym_basic_identifier, + STATE(512), 1, + sym_attribute_name, + STATE(1926), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4581), 1, + sym_ambiguous_name, + STATE(4673), 1, + sym_function_call, + STATE(5872), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(758), 2, + sym__simple_name, + sym_selected_name, + STATE(1238), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3877), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4657), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [188753] = 20, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + ACTIONS(6702), 1, + anon_sym_QMARK, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(6252), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [188819] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6698), 1, + sym_extended_identifier, + ACTIONS(6704), 1, + sym_basic_identifier, + STATE(1967), 1, + sym_attribute_name, + STATE(2231), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4587), 1, + sym_ambiguous_name, + STATE(4638), 1, + sym_function_call, + STATE(5832), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1873), 2, + sym__simple_name, + sym_selected_name, + STATE(2289), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3915), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4631), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [188881] = 17, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5849), 3, + sym_signal_interface_declaration, + sym_variable_interface_declaration, + sym_file_interface_declaration, + STATE(5848), 5, + sym_constant_interface_declaration, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + [188941] = 17, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(5852), 1, + sym_signal_interface_declaration, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5851), 7, + sym_constant_interface_declaration, + sym_variable_interface_declaration, + sym_file_interface_declaration, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + [189001] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1164), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6706), 1, + sym_basic_identifier, + STATE(512), 1, + sym_attribute_name, + STATE(1957), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4551), 1, + sym_ambiguous_name, + STATE(4673), 1, + sym_function_call, + STATE(5850), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(972), 2, + sym__simple_name, + sym_selected_name, + STATE(1238), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3902), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4659), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189063] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6698), 1, + sym_extended_identifier, + ACTIONS(6708), 1, + sym_basic_identifier, + STATE(1898), 1, + sym_attribute_name, + STATE(2443), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4549), 1, + sym_ambiguous_name, + STATE(4638), 1, + sym_function_call, + STATE(5841), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1915), 2, + sym__simple_name, + sym_selected_name, + STATE(2289), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3865), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4684), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189125] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6696), 1, + sym_basic_identifier, + ACTIONS(6698), 1, + sym_extended_identifier, + STATE(1898), 1, + sym_attribute_name, + STATE(2028), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4587), 1, + sym_ambiguous_name, + STATE(4638), 1, + sym_function_call, + STATE(5832), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1763), 2, + sym__simple_name, + sym_selected_name, + STATE(2289), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3888), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4631), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189187] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1164), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6710), 1, + sym_basic_identifier, + STATE(512), 1, + sym_attribute_name, + STATE(3241), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4551), 1, + sym_ambiguous_name, + STATE(4673), 1, + sym_function_call, + STATE(5850), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1238), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(2971), 2, + sym__simple_name, + sym_selected_name, + STATE(3904), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4659), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189249] = 20, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + ACTIONS(6712), 1, + anon_sym_QMARK, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(6067), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189315] = 20, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + ACTIONS(6714), 1, + anon_sym_QMARK, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(5761), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189381] = 20, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + ACTIONS(6716), 1, + anon_sym_QMARK, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(5797), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189447] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6698), 1, + sym_extended_identifier, + ACTIONS(6718), 1, + sym_basic_identifier, + STATE(1967), 1, + sym_attribute_name, + STATE(2790), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4549), 1, + sym_ambiguous_name, + STATE(4638), 1, + sym_function_call, + STATE(5841), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1966), 2, + sym__simple_name, + sym_selected_name, + STATE(2289), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3878), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4684), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189509] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6694), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4467), 1, + sym_attribute_name, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4589), 1, + sym_type_mark, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1238), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3994), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189571] = 20, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + ACTIONS(6720), 1, + anon_sym_QMARK, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(5791), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189637] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6722), 1, + sym_basic_identifier, + ACTIONS(6724), 1, + sym_extended_identifier, + STATE(2152), 1, + sym_attribute_name, + STATE(2223), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4597), 1, + sym_ambiguous_name, + STATE(4629), 1, + sym_function_call, + STATE(5813), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1894), 2, + sym__simple_name, + sym_selected_name, + STATE(2973), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(3890), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4677), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189699] = 17, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5788), 4, + sym_constant_interface_declaration, + sym_signal_interface_declaration, + sym_variable_interface_declaration, + sym_file_interface_declaration, + STATE(5789), 4, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + [189759] = 17, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6646), 1, + aux_sym__procedure_specification_token3, + ACTIONS(6648), 1, + aux_sym__function_specification_token1, + ACTIONS(6650), 1, + aux_sym_package_declaration_token1, + ACTIONS(6652), 1, + aux_sym_incomplete_type_declaration_token1, + ACTIONS(6654), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6656), 1, + aux_sym_constant_declaration_token1, + ACTIONS(6658), 1, + aux_sym_signal_declaration_token1, + ACTIONS(6660), 1, + aux_sym_variable_declaration_token1, + ACTIONS(6662), 1, + sym_extended_identifier, + STATE(5575), 1, + sym__procedure_specification, + STATE(5576), 1, + sym__function_specification, + STATE(6892), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6644), 2, + aux_sym__procedure_specification_token1, + aux_sym__procedure_specification_token2, + STATE(5793), 3, + sym_constant_interface_declaration, + sym_signal_interface_declaration, + sym_file_interface_declaration, + STATE(5794), 5, + sym_variable_interface_declaration, + sym_type_interface_declaration, + sym_procedure_interface_declaration, + sym_function_interface_declaration, + sym_package_interface_declaration, + [189819] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1164), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6726), 1, + sym_basic_identifier, + STATE(3104), 1, + sym_attribute_name, + STATE(3152), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4581), 1, + sym_ambiguous_name, + STATE(4673), 1, + sym_function_call, + STATE(5872), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1238), 2, + sym_subtype_indication, + sym_qualified_expression, + STATE(2885), 2, + sym__simple_name, + sym_selected_name, + STATE(3911), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4657), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189881] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6116), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [189942] = 19, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(5757), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190005] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5474), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190066] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5354), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190127] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + STATE(7332), 1, + sym_subtype_indication, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190188] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + STATE(7337), 1, + sym_subtype_indication, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190249] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6264), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190310] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6265), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190371] = 19, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(5957), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190434] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6282), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190495] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6283), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190556] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6284), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190617] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(4667), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190678] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5314), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190739] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5257), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190800] = 5, + STATE(5231), 1, + sym_entity_class, + STATE(5648), 1, + sym_entity_class_entry, + STATE(6547), 1, + sym_entity_class_entry_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6730), 19, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_physical_type_definition_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token1, + aux_sym_entity_class_token2, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + [190835] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6732), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4164), 1, + sym_ambiguous_name, + STATE(4234), 1, + sym_attribute_name, + STATE(4561), 1, + sym_slice_name, + STATE(4653), 1, + sym_function_call, + STATE(4846), 1, + sym_character_literal, + STATE(5295), 1, + sym_all, + STATE(6826), 1, + sym_sensitivity_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4165), 2, + sym__simple_name, + sym_selected_name, + STATE(4237), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190900] = 19, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(5796), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [190963] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5253), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191024] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5433), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191085] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5308), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191146] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6868), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191207] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6867), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191268] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5594), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191329] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(4828), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191390] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6114), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191451] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6115), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191512] = 5, + STATE(5231), 1, + sym_entity_class, + STATE(5648), 1, + sym_entity_class_entry, + STATE(6549), 1, + sym_entity_class_entry_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6730), 19, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_physical_type_definition_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token1, + aux_sym_entity_class_token2, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + [191547] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5595), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191608] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(7195), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191669] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6862), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191730] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6732), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4164), 1, + sym_ambiguous_name, + STATE(4234), 1, + sym_attribute_name, + STATE(4561), 1, + sym_slice_name, + STATE(4653), 1, + sym_function_call, + STATE(4846), 1, + sym_character_literal, + STATE(5295), 1, + sym_all, + STATE(6441), 1, + sym_sensitivity_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4165), 2, + sym__simple_name, + sym_selected_name, + STATE(4237), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191795] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6734), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + ACTIONS(1994), 9, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + [191844] = 5, + STATE(5231), 1, + sym_entity_class, + STATE(5648), 1, + sym_entity_class_entry, + STATE(6640), 1, + sym_entity_class_entry_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6730), 19, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_physical_type_definition_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token1, + aux_sym_entity_class_token2, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + [191879] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5652), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [191940] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6575), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192001] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(7192), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192062] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6732), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4164), 1, + sym_ambiguous_name, + STATE(4234), 1, + sym_attribute_name, + STATE(4561), 1, + sym_slice_name, + STATE(4653), 1, + sym_function_call, + STATE(4846), 1, + sym_character_literal, + STATE(5295), 1, + sym_all, + STATE(5389), 1, + sym_sensitivity_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4165), 2, + sym__simple_name, + sym_selected_name, + STATE(4237), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192127] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6732), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4164), 1, + sym_ambiguous_name, + STATE(4234), 1, + sym_attribute_name, + STATE(4561), 1, + sym_slice_name, + STATE(4653), 1, + sym_function_call, + STATE(4846), 1, + sym_character_literal, + STATE(5295), 1, + sym_all, + STATE(7280), 1, + sym_sensitivity_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4165), 2, + sym__simple_name, + sym_selected_name, + STATE(4237), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192192] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6736), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4606), 1, + sym_type_mark, + STATE(4653), 1, + sym_function_call, + STATE(5718), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4104), 2, + sym__simple_name, + sym_selected_name, + STATE(3913), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192253] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6732), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4164), 1, + sym_ambiguous_name, + STATE(4234), 1, + sym_attribute_name, + STATE(4561), 1, + sym_slice_name, + STATE(4653), 1, + sym_function_call, + STATE(4846), 1, + sym_character_literal, + STATE(5295), 1, + sym_all, + STATE(7278), 1, + sym_sensitivity_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4165), 2, + sym__simple_name, + sym_selected_name, + STATE(4237), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192318] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6534), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192379] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6533), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192440] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6732), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4164), 1, + sym_ambiguous_name, + STATE(4234), 1, + sym_attribute_name, + STATE(4561), 1, + sym_slice_name, + STATE(4653), 1, + sym_function_call, + STATE(4846), 1, + sym_character_literal, + STATE(5295), 1, + sym_all, + STATE(7275), 1, + sym_sensitivity_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4165), 2, + sym__simple_name, + sym_selected_name, + STATE(4237), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192505] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6732), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4164), 1, + sym_ambiguous_name, + STATE(4234), 1, + sym_attribute_name, + STATE(4561), 1, + sym_slice_name, + STATE(4653), 1, + sym_function_call, + STATE(4846), 1, + sym_character_literal, + STATE(5295), 1, + sym_all, + STATE(5664), 1, + sym_sensitivity_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4165), 2, + sym__simple_name, + sym_selected_name, + STATE(4237), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192570] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6357), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192631] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6263), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192692] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6535), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192753] = 19, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(6068), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192816] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(4781), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192877] = 19, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(5801), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [192940] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6738), 1, + sym_basic_identifier, + ACTIONS(6740), 1, + sym_integer_decimal, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(6375), 1, + sym__physical_literal, + STATE(6389), 1, + sym_physical_literal, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4518), 2, + sym__simple_name, + sym_selected_name, + ACTIONS(6742), 3, + sym_real_decimal, + sym_based_integer, + sym_based_real, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193001] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6738), 1, + sym_basic_identifier, + ACTIONS(6740), 1, + sym_integer_decimal, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(6393), 1, + sym__physical_literal, + STATE(6394), 1, + sym_physical_literal, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4518), 2, + sym__simple_name, + sym_selected_name, + ACTIONS(6742), 3, + sym_real_decimal, + sym_based_integer, + sym_based_real, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193062] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6732), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4164), 1, + sym_ambiguous_name, + STATE(4234), 1, + sym_attribute_name, + STATE(4561), 1, + sym_slice_name, + STATE(4653), 1, + sym_function_call, + STATE(4846), 1, + sym_character_literal, + STATE(5295), 1, + sym_all, + STATE(7035), 1, + sym_sensitivity_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4165), 2, + sym__simple_name, + sym_selected_name, + STATE(4237), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193127] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6732), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4164), 1, + sym_ambiguous_name, + STATE(4234), 1, + sym_attribute_name, + STATE(4561), 1, + sym_slice_name, + STATE(4653), 1, + sym_function_call, + STATE(4846), 1, + sym_character_literal, + STATE(5295), 1, + sym_all, + STATE(5504), 1, + sym_sensitivity_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4165), 2, + sym__simple_name, + sym_selected_name, + STATE(4237), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193192] = 5, + STATE(5231), 1, + sym_entity_class, + STATE(5648), 1, + sym_entity_class_entry, + STATE(6641), 1, + sym_entity_class_entry_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6730), 19, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_physical_type_definition_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token1, + aux_sym_entity_class_token2, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + [193227] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(7206), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193288] = 19, + ACTIONS(17), 1, + anon_sym_LPAREN, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6690), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4395), 1, + sym_ambiguous_name, + STATE(4501), 1, + sym_attribute_name, + STATE(4619), 1, + sym_function_call, + STATE(5267), 1, + sym_slice_name, + STATE(5862), 1, + sym__target, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4483), 2, + sym__simple_name, + sym_selected_name, + STATE(5896), 2, + sym_aggregate, + sym_character_literal, + STATE(4502), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193351] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6128), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193412] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6130), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193473] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6807), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193534] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1934), 3, + sym_basic_identifier, + aux_sym_string_literal_token2, + anon_sym_SLASH2, + ACTIONS(1936), 19, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + sym_extended_identifier, + aux_sym_string_literal_token1, + [193565] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5330), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193626] = 11, + ACTIONS(1992), 1, + sym_basic_identifier, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1994), 13, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [193673] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5258), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193734] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6199), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193795] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6200), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193856] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(6201), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193917] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4552), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5826), 1, + sym_slice_name, + STATE(7021), 1, + sym_subtype_indication, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3879), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [193978] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6732), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4164), 1, + sym_ambiguous_name, + STATE(4234), 1, + sym_attribute_name, + STATE(4561), 1, + sym_slice_name, + STATE(4653), 1, + sym_function_call, + STATE(4846), 1, + sym_character_literal, + STATE(5295), 1, + sym_all, + STATE(5416), 1, + sym_sensitivity_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4165), 2, + sym__simple_name, + sym_selected_name, + STATE(4237), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [194043] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(4664), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [194104] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6728), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4248), 1, + sym_type_mark, + STATE(4583), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5329), 1, + sym_subtype_indication, + STATE(5826), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3753), 2, + sym__simple_name, + sym_selected_name, + STATE(3916), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + STATE(4637), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [194165] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6744), 21, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [194193] = 18, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6746), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3658), 1, + sym__simple_name, + STATE(4535), 1, + sym_selected_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5561), 1, + sym_record_element_resolution, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(6914), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + [194253] = 4, + STATE(5231), 1, + sym_entity_class, + STATE(5893), 1, + sym_entity_class_entry, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6730), 19, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_physical_type_definition_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token1, + aux_sym_entity_class_token2, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + [194285] = 19, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6748), 1, + sym_basic_identifier, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6754), 1, + anon_sym_RBRACK, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5041), 1, + sym_type_mark, + STATE(5894), 1, + sym_slice_name, + STATE(6993), 1, + sym_return, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [194347] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6756), 21, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [194375] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6758), 21, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [194403] = 10, + ACTIONS(1992), 1, + sym_basic_identifier, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1994), 13, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + sym_extended_identifier, + [194447] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5436), 21, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_RBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [194475] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1938), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1940), 19, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + sym_extended_identifier, + aux_sym_string_literal_token1, + [194505] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1944), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1946), 19, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym_range_constraint_token1, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + sym_extended_identifier, + aux_sym_string_literal_token1, + [194535] = 10, + ACTIONS(6760), 1, + anon_sym_LPAREN, + ACTIONS(6762), 1, + aux_sym_range_constraint_token1, + ACTIONS(6764), 1, + aux_sym_unbounded_array_definition_token1, + ACTIONS(6766), 1, + aux_sym_record_type_definition_token1, + ACTIONS(6768), 1, + aux_sym_access_type_definition_token1, + ACTIONS(6770), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6772), 1, + aux_sym_protected_type_declaration_token1, + STATE(5745), 1, + sym_range_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(6872), 12, + sym_enumeration_type_definition, + sym_physical_type_definition, + sym__composite_type_definition, + sym__array_type_definition, + sym_unbounded_array_definition, + sym_constrained_array_definition, + sym_record_type_definition, + sym_access_type_definition, + sym_file_type_definition, + sym_protected_type_declaration, + sym_protected_type_body, + sym__type_definition, + [194578] = 10, + ACTIONS(6760), 1, + anon_sym_LPAREN, + ACTIONS(6762), 1, + aux_sym_range_constraint_token1, + ACTIONS(6764), 1, + aux_sym_unbounded_array_definition_token1, + ACTIONS(6766), 1, + aux_sym_record_type_definition_token1, + ACTIONS(6768), 1, + aux_sym_access_type_definition_token1, + ACTIONS(6770), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6772), 1, + aux_sym_protected_type_declaration_token1, + STATE(5745), 1, + sym_range_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7331), 12, + sym_enumeration_type_definition, + sym_physical_type_definition, + sym__composite_type_definition, + sym__array_type_definition, + sym_unbounded_array_definition, + sym_constrained_array_definition, + sym_record_type_definition, + sym_access_type_definition, + sym_file_type_definition, + sym_protected_type_declaration, + sym_protected_type_body, + sym__type_definition, + [194621] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6542), 1, + anon_sym_LPAREN, + ACTIONS(6628), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4373), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + STATE(5690), 3, + sym_resolution_function, + sym_parenthesized_resolution, + sym_record_resolution, + [194676] = 3, + STATE(6341), 1, + sym_entity_class, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6730), 19, + aux_sym_entity_declaration_token1, + aux_sym_architecture_body_token1, + aux_sym_configuration_declaration_token1, + aux_sym__procedure_specification_token3, + aux_sym__function_specification_token1, + aux_sym_package_declaration_token1, + aux_sym_physical_type_definition_token1, + aux_sym_incomplete_type_declaration_token1, + aux_sym_file_type_definition_token1, + aux_sym_subtype_declaration_token1, + aux_sym_constant_declaration_token1, + aux_sym_signal_declaration_token1, + aux_sym_variable_declaration_token1, + aux_sym_component_declaration_token1, + aux_sym_group_template_declaration_token1, + aux_sym_entity_class_token1, + aux_sym_entity_class_token2, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + [194705] = 10, + ACTIONS(6760), 1, + anon_sym_LPAREN, + ACTIONS(6762), 1, + aux_sym_range_constraint_token1, + ACTIONS(6764), 1, + aux_sym_unbounded_array_definition_token1, + ACTIONS(6766), 1, + aux_sym_record_type_definition_token1, + ACTIONS(6768), 1, + aux_sym_access_type_definition_token1, + ACTIONS(6770), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6772), 1, + aux_sym_protected_type_declaration_token1, + STATE(5745), 1, + sym_range_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(6874), 12, + sym_enumeration_type_definition, + sym_physical_type_definition, + sym__composite_type_definition, + sym__array_type_definition, + sym_unbounded_array_definition, + sym_constrained_array_definition, + sym_record_type_definition, + sym_access_type_definition, + sym_file_type_definition, + sym_protected_type_declaration, + sym_protected_type_body, + sym__type_definition, + [194748] = 10, + ACTIONS(6760), 1, + anon_sym_LPAREN, + ACTIONS(6762), 1, + aux_sym_range_constraint_token1, + ACTIONS(6764), 1, + aux_sym_unbounded_array_definition_token1, + ACTIONS(6766), 1, + aux_sym_record_type_definition_token1, + ACTIONS(6768), 1, + aux_sym_access_type_definition_token1, + ACTIONS(6770), 1, + aux_sym_file_type_definition_token1, + ACTIONS(6772), 1, + aux_sym_protected_type_declaration_token1, + STATE(5745), 1, + sym_range_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7218), 12, + sym_enumeration_type_definition, + sym_physical_type_definition, + sym__composite_type_definition, + sym__array_type_definition, + sym_unbounded_array_definition, + sym_constrained_array_definition, + sym_record_type_definition, + sym_access_type_definition, + sym_file_type_definition, + sym_protected_type_declaration, + sym_protected_type_body, + sym__type_definition, + [194791] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6774), 19, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [194817] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6776), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4531), 1, + sym__simple_name, + STATE(4534), 1, + sym_selected_name, + STATE(4536), 1, + sym_ambiguous_name, + STATE(4541), 1, + sym_external_variable_name, + STATE(4547), 1, + sym_attribute_name, + STATE(4555), 1, + sym_external_constant_name, + STATE(4556), 1, + sym_external_signal_name, + STATE(4653), 1, + sym_function_call, + STATE(5122), 1, + sym_slice_name, + STATE(5505), 1, + sym_character_literal, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [194879] = 17, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6778), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4413), 1, + sym_ambiguous_name, + STATE(4513), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5302), 1, + sym_slice_name, + STATE(5898), 1, + sym_character_literal, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4412), 2, + sym__simple_name, + sym_selected_name, + STATE(4514), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [194935] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6780), 19, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [194961] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6782), 19, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [194987] = 15, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(6784), 1, + anon_sym_RPAREN, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(5613), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6790), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [195039] = 17, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6796), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(5928), 1, + sym_character_literal, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4383), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [195095] = 3, + ACTIONS(6800), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6798), 18, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [195123] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6802), 19, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [195149] = 17, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6804), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4160), 1, + sym_ambiguous_name, + STATE(4238), 1, + sym_attribute_name, + STATE(4569), 1, + sym_slice_name, + STATE(4653), 1, + sym_function_call, + STATE(4847), 1, + sym_character_literal, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4159), 2, + sym__simple_name, + sym_selected_name, + STATE(4243), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [195205] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6806), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4505), 1, + sym__simple_name, + STATE(4506), 1, + sym_selected_name, + STATE(4507), 1, + sym_ambiguous_name, + STATE(4598), 1, + sym_external_variable_name, + STATE(4599), 1, + sym_external_signal_name, + STATE(4600), 1, + sym_external_constant_name, + STATE(4601), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(4941), 1, + sym_slice_name, + STATE(5596), 1, + sym_character_literal, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [195267] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6808), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4519), 1, + sym_ambiguous_name, + STATE(4524), 1, + sym_selected_name, + STATE(4525), 1, + sym__simple_name, + STATE(4558), 1, + sym_external_variable_name, + STATE(4603), 1, + sym_attribute_name, + STATE(4612), 1, + sym_external_constant_name, + STATE(4613), 1, + sym_external_signal_name, + STATE(4653), 1, + sym_function_call, + STATE(5020), 1, + sym_slice_name, + STATE(5347), 1, + sym_character_literal, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [195329] = 17, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6810), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4354), 1, + sym_ambiguous_name, + STATE(4403), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5097), 1, + sym_slice_name, + STATE(5640), 1, + sym_character_literal, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4353), 2, + sym__simple_name, + sym_selected_name, + STATE(4404), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [195385] = 20, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6812), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4532), 1, + sym_ambiguous_name, + STATE(4538), 1, + sym__simple_name, + STATE(4540), 1, + sym_selected_name, + STATE(4554), 1, + sym_attribute_name, + STATE(4570), 1, + sym_external_constant_name, + STATE(4572), 1, + sym_external_signal_name, + STATE(4573), 1, + sym_external_variable_name, + STATE(4653), 1, + sym_function_call, + STATE(5027), 1, + sym_slice_name, + STATE(5556), 1, + sym_character_literal, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [195447] = 15, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(615), 1, + aux_sym_others_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6814), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(5597), 1, + sym_entity_designator, + STATE(6858), 1, + sym_entity_specification, + STATE(6859), 1, + sym_entity_name_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(6856), 2, + sym_all, + sym_others, + STATE(5305), 4, + sym__operator_symbol, + sym__entity_tag, + sym__simple_name, + sym_character_literal, + [195498] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(5462), 1, + aux_sym_process_statement_token1, + ACTIONS(6816), 1, + sym_basic_identifier, + ACTIONS(6818), 1, + aux_sym_assertion_statement_token2, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4537), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [195551] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6798), 18, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [195576] = 4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6820), 4, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__report_token1, + ACTIONS(6824), 4, + anon_sym_LBRACK, + anon_sym_BANG, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + ACTIONS(6826), 10, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [195605] = 14, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6829), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7027), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [195654] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6839), 1, + sym_basic_identifier, + ACTIONS(6841), 1, + aux_sym_assertion_statement_token2, + ACTIONS(6843), 1, + aux_sym_process_statement_token1, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4527), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [195707] = 15, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(615), 1, + aux_sym_others_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(6814), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(5597), 1, + sym_entity_designator, + STATE(6859), 1, + sym_entity_name_list, + STATE(7022), 1, + sym_entity_specification, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(6856), 2, + sym_all, + sym_others, + STATE(5305), 4, + sym__operator_symbol, + sym__entity_tag, + sym__simple_name, + sym_character_literal, + [195758] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6845), 18, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [195783] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(4687), 1, + aux_sym_process_statement_token1, + ACTIONS(6847), 1, + sym_basic_identifier, + ACTIONS(6849), 1, + aux_sym_assertion_statement_token2, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4510), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [195836] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6824), 4, + anon_sym_LBRACK, + anon_sym_BANG, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + ACTIONS(6826), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [195863] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6748), 1, + sym_basic_identifier, + ACTIONS(6851), 1, + aux_sym_return_token1, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5568), 1, + sym_type_mark, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [195916] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6853), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2031), 2, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + STATE(4462), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [195967] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6855), 18, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [195992] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6857), 18, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [196017] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6859), 18, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [196042] = 14, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6861), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7264), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [196091] = 14, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6863), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7298), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [196140] = 14, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6865), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7071), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [196189] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6867), 1, + sym_basic_identifier, + ACTIONS(6869), 1, + aux_sym_assertion_statement_token2, + ACTIONS(6871), 1, + aux_sym_process_statement_token1, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4500), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [196242] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6873), 5, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + [196285] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6879), 5, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + [196328] = 14, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6881), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7297), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [196377] = 4, + ACTIONS(6883), 1, + anon_sym_LPAREN, + STATE(6237), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6756), 16, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [196406] = 14, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6885), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(6679), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [196455] = 4, + ACTIONS(6889), 1, + anon_sym_LPAREN, + ACTIONS(6891), 1, + anon_sym_AT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6887), 16, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [196484] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6893), 1, + sym_basic_identifier, + ACTIONS(6895), 1, + anon_sym_RPAREN, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4286), 1, + sym_type_mark, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3784), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [196537] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6873), 5, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + [196580] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6897), 1, + sym_basic_identifier, + ACTIONS(6899), 1, + anon_sym_LT_GT, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5529), 1, + sym__operator_symbol, + STATE(5785), 1, + sym_interface_subprogram_default, + STATE(5894), 1, + sym_slice_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4365), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [196633] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6897), 1, + sym_basic_identifier, + ACTIONS(6899), 1, + anon_sym_LT_GT, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5529), 1, + sym__operator_symbol, + STATE(5787), 1, + sym_interface_subprogram_default, + STATE(5894), 1, + sym_slice_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4365), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [196686] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6879), 5, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + [196729] = 4, + ACTIONS(6889), 1, + anon_sym_LPAREN, + ACTIONS(6901), 1, + anon_sym_AT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6887), 16, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_BANG, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + [196758] = 13, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6903), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(6905), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [196805] = 14, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6907), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7289), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [196854] = 14, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6909), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(6693), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [196903] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6911), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(5922), 1, + sym_index_subtype_definition, + STATE(5978), 1, + sym_type_mark, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [196956] = 7, + ACTIONS(595), 1, + anon_sym_LBRACK, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6913), 12, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [196990] = 5, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(6883), 1, + anon_sym_LPAREN, + STATE(6237), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6915), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [197020] = 7, + ACTIONS(595), 1, + anon_sym_LBRACK, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6917), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [197054] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6879), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [197096] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(6919), 1, + sym_basic_identifier, + ACTIONS(6921), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4343), 1, + sym_selected_name, + STATE(4580), 1, + sym__simple_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7180), 1, + sym_context_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [197148] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6923), 4, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__report_token1, + [197190] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6925), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [197232] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1154), 1, + sym_extended_identifier, + ACTIONS(6927), 1, + sym_basic_identifier, + STATE(1895), 1, + sym_attribute_name, + STATE(2734), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4578), 1, + sym_ambiguous_name, + STATE(4638), 1, + sym_function_call, + STATE(5837), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2262), 2, + sym__simple_name, + sym_selected_name, + STATE(4639), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [197282] = 7, + ACTIONS(595), 1, + anon_sym_LBRACK, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6917), 12, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [197316] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1154), 1, + sym_extended_identifier, + ACTIONS(6929), 1, + sym_basic_identifier, + STATE(1895), 1, + sym_attribute_name, + STATE(2521), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4563), 1, + sym_ambiguous_name, + STATE(4638), 1, + sym_function_call, + STATE(5828), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1948), 2, + sym__simple_name, + sym_selected_name, + STATE(4648), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [197366] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6931), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [197408] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6933), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [197450] = 7, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(6937), 1, + anon_sym_BANG, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6903), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(6939), 2, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + ACTIONS(6935), 10, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [197484] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6931), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [197526] = 10, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6941), 6, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [197566] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6905), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6943), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [197610] = 10, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6945), 6, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [197650] = 7, + ACTIONS(595), 1, + anon_sym_LBRACK, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6913), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [197684] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6636), 1, + aux_sym_verification_unit_binding_indication_token1, + ACTIONS(6947), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4350), 1, + sym_selected_name, + STATE(4580), 1, + sym__simple_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [197736] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6949), 1, + sym_basic_identifier, + STATE(527), 1, + sym_attribute_name, + STATE(1938), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4596), 1, + sym_ambiguous_name, + STATE(4673), 1, + sym_function_call, + STATE(5847), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1885), 2, + sym__simple_name, + sym_selected_name, + STATE(4654), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [197786] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1154), 1, + sym_extended_identifier, + ACTIONS(6951), 1, + sym_basic_identifier, + STATE(1956), 1, + sym_attribute_name, + STATE(2871), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4578), 1, + sym_ambiguous_name, + STATE(4638), 1, + sym_function_call, + STATE(5837), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2414), 2, + sym__simple_name, + sym_selected_name, + STATE(4639), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [197836] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6893), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4286), 1, + sym_type_mark, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3784), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [197886] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6953), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [197928] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6911), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(6355), 1, + sym_type_mark, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [197978] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1736), 1, + sym_extended_identifier, + ACTIONS(6955), 1, + sym_basic_identifier, + STATE(2181), 1, + sym_attribute_name, + STATE(2328), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4607), 1, + sym_ambiguous_name, + STATE(4678), 1, + sym_function_call, + STATE(5806), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2112), 2, + sym__simple_name, + sym_selected_name, + STATE(4624), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198028] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6933), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [198070] = 6, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(6937), 1, + anon_sym_BANG, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6939), 2, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + ACTIONS(6935), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [198102] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6957), 1, + sym_basic_identifier, + STATE(3108), 1, + sym_attribute_name, + STATE(3334), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4616), 1, + sym_ambiguous_name, + STATE(4673), 1, + sym_function_call, + STATE(5912), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3163), 2, + sym__simple_name, + sym_selected_name, + STATE(4623), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198152] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1736), 1, + sym_extended_identifier, + ACTIONS(6959), 1, + sym_basic_identifier, + STATE(2798), 1, + sym_attribute_name, + STATE(2879), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4560), 1, + sym_ambiguous_name, + STATE(4676), 1, + sym_function_call, + STATE(5817), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2546), 2, + sym__simple_name, + sym_selected_name, + STATE(4675), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198202] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + STATE(5824), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6961), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [198250] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1154), 1, + sym_extended_identifier, + ACTIONS(6929), 1, + sym_basic_identifier, + STATE(1895), 1, + sym_attribute_name, + STATE(2114), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4563), 1, + sym_ambiguous_name, + STATE(4638), 1, + sym_function_call, + STATE(5828), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1948), 2, + sym__simple_name, + sym_selected_name, + STATE(4648), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198300] = 6, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(6937), 1, + anon_sym_BANG, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6967), 2, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + ACTIONS(6935), 12, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [198332] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1832), 1, + sym_extended_identifier, + ACTIONS(6969), 1, + sym_basic_identifier, + STATE(2151), 1, + sym_attribute_name, + STATE(2253), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4592), 1, + sym_ambiguous_name, + STATE(4629), 1, + sym_function_call, + STATE(5809), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2123), 2, + sym__simple_name, + sym_selected_name, + STATE(4621), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198382] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6971), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(6413), 1, + sym_verification_unit_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4349), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198432] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6911), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5263), 1, + sym_type_mark, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198482] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6973), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [198524] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6975), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [198566] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1872), 1, + sym_extended_identifier, + ACTIONS(6977), 1, + sym_basic_identifier, + STATE(3017), 1, + sym_attribute_name, + STATE(3059), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4615), 1, + sym_ambiguous_name, + STATE(4636), 1, + sym_function_call, + STATE(5802), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2984), 2, + sym__simple_name, + sym_selected_name, + STATE(4626), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198616] = 10, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6941), 6, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [198656] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6905), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6979), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [198700] = 16, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6981), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4343), 1, + sym_selected_name, + STATE(4580), 1, + sym__simple_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7180), 1, + sym_context_list, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198752] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6911), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + STATE(7336), 1, + sym_type_mark, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198802] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6911), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + STATE(7334), 1, + sym_type_mark, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198852] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6925), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [198894] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6983), 1, + sym_basic_identifier, + STATE(527), 1, + sym_attribute_name, + STATE(2055), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4616), 1, + sym_ambiguous_name, + STATE(4673), 1, + sym_function_call, + STATE(5912), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1817), 2, + sym__simple_name, + sym_selected_name, + STATE(4623), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198944] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6911), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5313), 1, + sym_type_mark, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [198994] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6983), 1, + sym_basic_identifier, + STATE(527), 1, + sym_attribute_name, + STATE(3397), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4616), 1, + sym_ambiguous_name, + STATE(4673), 1, + sym_function_call, + STATE(5912), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1817), 2, + sym__simple_name, + sym_selected_name, + STATE(4623), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [199044] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6911), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(6861), 1, + sym_type_mark, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [199094] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6979), 2, + anon_sym_SEMI, + aux_sym__report_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [199138] = 10, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6945), 6, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [199178] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + STATE(5818), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6985), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [199226] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6873), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [199268] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6911), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(6860), 1, + sym_type_mark, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [199318] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6987), 1, + sym_basic_identifier, + STATE(3108), 1, + sym_attribute_name, + STATE(3208), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4596), 1, + sym_ambiguous_name, + STATE(4673), 1, + sym_function_call, + STATE(5847), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3084), 2, + sym__simple_name, + sym_selected_name, + STATE(4654), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [199368] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6953), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [199410] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6893), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4591), 1, + sym_type_mark, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3784), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [199460] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6973), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [199502] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1154), 1, + sym_extended_identifier, + ACTIONS(6989), 1, + sym_basic_identifier, + STATE(1956), 1, + sym_attribute_name, + STATE(2255), 1, + sym_type_mark, + STATE(3646), 1, + sym_string_literal, + STATE(4563), 1, + sym_ambiguous_name, + STATE(4638), 1, + sym_function_call, + STATE(5828), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2000), 2, + sym__simple_name, + sym_selected_name, + STATE(4648), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [199552] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6893), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3799), 1, + sym_attribute_name, + STATE(4246), 1, + sym_type_mark, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3784), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [199602] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6991), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [199644] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6991), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [199686] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4240), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4245), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6905), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6923), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + [199728] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6911), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5568), 1, + sym_type_mark, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [199778] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6911), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4083), 1, + sym_attribute_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(6837), 1, + sym_type_mark, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3945), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [199828] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6975), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [199870] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6943), 2, + anon_sym_SEMI, + aux_sym__report_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [199914] = 12, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6863), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7298), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [199957] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(6995), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4294), 1, + sym_signature, + STATE(7020), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [200004] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6997), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4033), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [200051] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6999), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3925), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [200098] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7001), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4001), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [200145] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7003), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4031), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [200192] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7005), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3988), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [200239] = 12, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4530), 1, + sym__header, + STATE(4840), 1, + sym_procedure_parameter_clause, + STATE(5564), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7007), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [200282] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7013), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3981), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [200329] = 12, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4539), 1, + sym__header, + STATE(4764), 1, + sym_procedure_parameter_clause, + STATE(5565), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7015), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [200372] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7017), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4307), 1, + sym_signature, + STATE(6776), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [200419] = 12, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4533), 1, + sym__header, + STATE(4769), 1, + sym_function_parameter_clause, + STATE(5566), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7019), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [200462] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7025), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4313), 1, + sym_signature, + STATE(6770), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [200509] = 12, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6909), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(6693), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [200552] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7027), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3887), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [200599] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7029), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3908), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [200646] = 7, + ACTIONS(595), 1, + anon_sym_LBRACK, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6913), 11, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [200679] = 10, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6945), 5, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [200718] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(6943), 1, + anon_sym_RPAREN, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6790), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [200761] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7031), 1, + anon_sym_RPAREN, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6790), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [200804] = 12, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4528), 1, + sym__header, + STATE(4770), 1, + sym_function_parameter_clause, + STATE(5567), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7033), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [200847] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1994), 8, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym__after_token1, + [200886] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7035), 1, + anon_sym_RPAREN, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6790), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [200929] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7037), 1, + anon_sym_RPAREN, + STATE(3837), 1, + sym_PSL_Count, + STATE(4263), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4281), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4341), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6790), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [200972] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7039), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4582), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [201019] = 12, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4499), 1, + sym__header, + STATE(4812), 1, + sym_procedure_parameter_clause, + STATE(5471), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7041), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [201062] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6790), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6923), 3, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + [201103] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(7043), 1, + sym_basic_identifier, + ACTIONS(7045), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4359), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [201150] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7047), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [201193] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7049), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [201236] = 12, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4504), 1, + sym__header, + STATE(4819), 1, + sym_function_parameter_clause, + STATE(5476), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7051), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [201279] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(7053), 1, + sym_basic_identifier, + ACTIONS(7055), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4348), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [201326] = 12, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4517), 1, + sym__header, + STATE(4817), 1, + sym_function_parameter_clause, + STATE(5473), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7057), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [201369] = 12, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4521), 1, + sym__header, + STATE(4813), 1, + sym_procedure_parameter_clause, + STATE(5472), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7059), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [201412] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7061), 1, + anon_sym_RPAREN, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6790), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [201455] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7063), 1, + anon_sym_RPAREN, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6790), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [201498] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6981), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4418), 1, + sym_selected_name, + STATE(4580), 1, + sym__simple_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [201547] = 10, + ACTIONS(7069), 1, + aux_sym_PSL_Constant_Parameter_Specification_token1, + ACTIONS(7071), 1, + aux_sym_PSL_HDL_Type_token1, + ACTIONS(7073), 1, + aux_sym_PSL_Type_Class_token1, + STATE(5600), 1, + sym_PSL_Formal_Parameter, + STATE(6841), 1, + sym_PSL_Formal_Parameter_List, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7065), 2, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + STATE(5737), 2, + sym_PSL_HDL_Type, + sym_PSL_Type_Class, + STATE(5739), 3, + sym__PSL_Parameter_Specification, + sym_PSL_Constant_Parameter_Specification, + sym_PSL_Temporal_Parameter_Specification, + ACTIONS(7067), 4, + aux_sym_boolean_token1, + aux_sym_PSL_Type_Class_token2, + aux_sym_PSL_Type_Class_token3, + aux_sym_PSL_Type_Class_token4, + [201586] = 10, + ACTIONS(7069), 1, + aux_sym_PSL_Constant_Parameter_Specification_token1, + ACTIONS(7071), 1, + aux_sym_PSL_HDL_Type_token1, + ACTIONS(7073), 1, + aux_sym_PSL_Type_Class_token1, + STATE(5600), 1, + sym_PSL_Formal_Parameter, + STATE(6846), 1, + sym_PSL_Formal_Parameter_List, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7065), 2, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + STATE(5737), 2, + sym_PSL_HDL_Type, + sym_PSL_Type_Class, + STATE(5739), 3, + sym__PSL_Parameter_Specification, + sym_PSL_Constant_Parameter_Specification, + sym_PSL_Temporal_Parameter_Specification, + ACTIONS(7067), 4, + aux_sym_boolean_token1, + aux_sym_PSL_Type_Class_token2, + aux_sym_PSL_Type_Class_token3, + aux_sym_PSL_Type_Class_token4, + [201625] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7075), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4584), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [201672] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(7077), 1, + sym_basic_identifier, + ACTIONS(7079), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4348), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [201719] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7081), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4274), 1, + sym_signature, + STATE(6392), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [201766] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6981), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4362), 1, + sym_selected_name, + STATE(4580), 1, + sym__simple_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [201815] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7083), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4319), 1, + sym_signature, + STATE(6152), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [201862] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7085), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4273), 1, + sym_signature, + STATE(6151), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [201909] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7087), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4254), 1, + sym_signature, + STATE(6150), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [201956] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7089), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3971), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [202003] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7091), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4269), 1, + sym_signature, + STATE(6149), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [202050] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7093), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3969), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [202097] = 12, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6907), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7289), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [202140] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7095), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [202183] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7097), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [202226] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7099), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3968), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [202273] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7101), 1, + anon_sym_RPAREN, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6790), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [202316] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6991), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [202357] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7103), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4257), 1, + sym_signature, + STATE(6795), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [202404] = 12, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6881), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7297), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [202447] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7105), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4337), 1, + sym_signature, + STATE(7038), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [202494] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6953), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [202535] = 15, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(6981), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4350), 1, + sym_selected_name, + STATE(4580), 1, + sym__simple_name, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [202584] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7107), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4302), 1, + sym_signature, + STATE(6378), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [202631] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7109), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4303), 1, + sym_signature, + STATE(6377), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [202678] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7111), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4336), 1, + sym_signature, + STATE(6371), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [202725] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7113), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + STATE(6367), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [202772] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7115), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4340), 1, + sym_signature, + STATE(7032), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [202819] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7117), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3967), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [202866] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7119), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4516), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [202913] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7121), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + STATE(6362), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [202960] = 12, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6885), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(6679), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [203003] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7123), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3979), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203050] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(7125), 1, + anon_sym_SQUOTE, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2189), 2, + sym_basic_identifier, + aux_sym_string_literal_token2, + ACTIONS(1994), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + ACTIONS(2196), 3, + anon_sym_LT_LT, + sym_extended_identifier, + aux_sym_string_literal_token1, + [203093] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7128), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4515), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203140] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7130), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4509), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203187] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7132), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4508), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203234] = 6, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(6937), 1, + anon_sym_BANG, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7134), 2, + anon_sym_PIPE_EQ_GT, + anon_sym_PIPE_DASH_GT, + ACTIONS(6935), 11, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [203265] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7136), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4002), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203312] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7138), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4377), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203359] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7140), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4309), 1, + sym_signature, + STATE(7031), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [203406] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7142), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4253), 1, + sym_signature, + STATE(6791), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [203453] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7144), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4348), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203500] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7146), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4359), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203547] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6925), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [203588] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(7148), 1, + sym_basic_identifier, + ACTIONS(7150), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4358), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203635] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7152), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4358), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203682] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7154), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3934), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203729] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7156), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3936), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203776] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7158), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [203819] = 10, + ACTIONS(7069), 1, + aux_sym_PSL_Constant_Parameter_Specification_token1, + ACTIONS(7071), 1, + aux_sym_PSL_HDL_Type_token1, + ACTIONS(7073), 1, + aux_sym_PSL_Type_Class_token1, + STATE(5600), 1, + sym_PSL_Formal_Parameter, + STATE(7198), 1, + sym_PSL_Formal_Parameter_List, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7065), 2, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + STATE(5737), 2, + sym_PSL_HDL_Type, + sym_PSL_Type_Class, + STATE(5739), 3, + sym__PSL_Parameter_Specification, + sym_PSL_Constant_Parameter_Specification, + sym_PSL_Temporal_Parameter_Specification, + ACTIONS(7067), 4, + aux_sym_boolean_token1, + aux_sym_PSL_Type_Class_token2, + aux_sym_PSL_Type_Class_token3, + aux_sym_PSL_Type_Class_token4, + [203858] = 10, + ACTIONS(7069), 1, + aux_sym_PSL_Constant_Parameter_Specification_token1, + ACTIONS(7071), 1, + aux_sym_PSL_HDL_Type_token1, + ACTIONS(7073), 1, + aux_sym_PSL_Type_Class_token1, + STATE(5600), 1, + sym_PSL_Formal_Parameter, + STATE(7197), 1, + sym_PSL_Formal_Parameter_List, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7065), 2, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + STATE(5737), 2, + sym_PSL_HDL_Type, + sym_PSL_Type_Class, + STATE(5739), 3, + sym__PSL_Parameter_Specification, + sym_PSL_Constant_Parameter_Specification, + sym_PSL_Temporal_Parameter_Specification, + ACTIONS(7067), 4, + aux_sym_boolean_token1, + aux_sym_PSL_Type_Class_token2, + aux_sym_PSL_Type_Class_token3, + aux_sym_PSL_Type_Class_token4, + [203897] = 12, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6829), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7027), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [203940] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7160), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3965), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [203987] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7162), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [204030] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7164), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3984), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [204077] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7166), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3985), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [204124] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6975), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [204165] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7168), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3986), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [204212] = 12, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6865), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7071), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [204255] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6973), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [204296] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6933), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [204337] = 11, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6931), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [204378] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7170), 1, + anon_sym_RPAREN, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6790), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [204421] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7172), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3987), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [204468] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7174), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3991), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [204515] = 12, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(6861), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(7264), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [204558] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7176), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [204601] = 12, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7178), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(4225), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4226), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4228), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6835), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [204644] = 10, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6941), 5, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [204683] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7180), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + STATE(6964), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [204730] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7182), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4462), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [204777] = 14, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7184), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + STATE(7005), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [204824] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7186), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4557), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [204871] = 7, + ACTIONS(595), 1, + anon_sym_LBRACK, + STATE(3837), 1, + sym_PSL_Count, + STATE(4214), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4289), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4327), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6917), 11, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [204904] = 14, + ACTIONS(65), 1, + anon_sym_LT_LT, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7188), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4609), 1, + sym_ambiguous_name, + STATE(4622), 1, + sym_attribute_name, + STATE(4653), 1, + sym_function_call, + STATE(5894), 1, + sym_slice_name, + STATE(7310), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4559), 2, + sym__simple_name, + sym_selected_name, + STATE(4635), 3, + sym_external_constant_name, + sym_external_signal_name, + sym_external_variable_name, + [204951] = 8, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6941), 6, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [204985] = 5, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6913), 12, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [205013] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7051), 1, + anon_sym_SEMI, + ACTIONS(7190), 1, + aux_sym_entity_declaration_token2, + STATE(4504), 1, + sym__header, + STATE(4819), 1, + sym_function_parameter_clause, + STATE(5476), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [205057] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7057), 1, + anon_sym_SEMI, + ACTIONS(7193), 1, + aux_sym_entity_declaration_token2, + STATE(4517), 1, + sym__header, + STATE(4817), 1, + sym_function_parameter_clause, + STATE(5473), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [205101] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7059), 1, + anon_sym_SEMI, + ACTIONS(7196), 1, + aux_sym_entity_declaration_token2, + STATE(4521), 1, + sym__header, + STATE(4813), 1, + sym_procedure_parameter_clause, + STATE(5472), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [205145] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7041), 1, + anon_sym_SEMI, + ACTIONS(7199), 1, + aux_sym_entity_declaration_token2, + STATE(4499), 1, + sym__header, + STATE(4812), 1, + sym_procedure_parameter_clause, + STATE(5471), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [205189] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6975), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [205225] = 8, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6941), 6, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [205259] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4210), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4230), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4241), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7202), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(7205), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [205297] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6979), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(7205), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [205335] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6925), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [205371] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7033), 1, + anon_sym_SEMI, + ACTIONS(7207), 1, + aux_sym_entity_declaration_token2, + STATE(4528), 1, + sym__header, + STATE(4770), 1, + sym_function_parameter_clause, + STATE(5567), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [205415] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7019), 1, + anon_sym_SEMI, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7210), 1, + aux_sym_entity_declaration_token2, + STATE(4533), 1, + sym__header, + STATE(4769), 1, + sym_function_parameter_clause, + STATE(5566), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [205459] = 8, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6923), 6, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [205493] = 5, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6913), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [205521] = 12, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7213), 1, + aux_sym_entity_declaration_token4, + ACTIONS(7215), 1, + anon_sym_SEMI, + ACTIONS(7217), 1, + aux_sym_block_configuration_token1, + ACTIONS(7219), 1, + aux_sym_binding_indication_token1, + STATE(4827), 1, + sym_binding_indication, + STATE(6724), 1, + sym_block_configuration, + STATE(7183), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4845), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [205563] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7015), 1, + anon_sym_SEMI, + ACTIONS(7221), 1, + aux_sym_entity_declaration_token2, + STATE(4539), 1, + sym__header, + STATE(4764), 1, + sym_procedure_parameter_clause, + STATE(5565), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [205607] = 8, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6945), 6, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [205641] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7007), 1, + anon_sym_SEMI, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7224), 1, + aux_sym_entity_declaration_token2, + STATE(4530), 1, + sym__header, + STATE(4840), 1, + sym_procedure_parameter_clause, + STATE(5564), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [205685] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7007), 1, + anon_sym_SEMI, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7227), 1, + aux_sym_entity_declaration_token2, + STATE(4530), 1, + sym__header, + STATE(4840), 1, + sym_procedure_parameter_clause, + STATE(5564), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [205729] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6931), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [205765] = 9, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6933), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [205801] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7015), 1, + anon_sym_SEMI, + ACTIONS(7230), 1, + aux_sym_entity_declaration_token2, + STATE(4539), 1, + sym__header, + STATE(4764), 1, + sym_procedure_parameter_clause, + STATE(5565), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [205845] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6933), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [205881] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6973), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [205917] = 5, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6917), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [205945] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6943), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(7205), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [205983] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7019), 1, + anon_sym_SEMI, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7233), 1, + aux_sym_entity_declaration_token2, + STATE(4533), 1, + sym__header, + STATE(4769), 1, + sym_function_parameter_clause, + STATE(5566), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [206027] = 9, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6931), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [206063] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7033), 1, + anon_sym_SEMI, + ACTIONS(7236), 1, + aux_sym_entity_declaration_token2, + STATE(4528), 1, + sym__header, + STATE(4770), 1, + sym_function_parameter_clause, + STATE(5567), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [206107] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7051), 1, + anon_sym_SEMI, + ACTIONS(7239), 1, + aux_sym_entity_declaration_token2, + STATE(4504), 1, + sym__header, + STATE(4819), 1, + sym_function_parameter_clause, + STATE(5476), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [206151] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7057), 1, + anon_sym_SEMI, + ACTIONS(7242), 1, + aux_sym_entity_declaration_token2, + STATE(4517), 1, + sym__header, + STATE(4817), 1, + sym_function_parameter_clause, + STATE(5473), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [206195] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7059), 1, + anon_sym_SEMI, + ACTIONS(7245), 1, + aux_sym_entity_declaration_token2, + STATE(4521), 1, + sym__header, + STATE(4813), 1, + sym_procedure_parameter_clause, + STATE(5472), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [206239] = 5, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6917), 12, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [206267] = 13, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + ACTIONS(7041), 1, + anon_sym_SEMI, + ACTIONS(7248), 1, + aux_sym_entity_declaration_token2, + STATE(4499), 1, + sym__header, + STATE(4812), 1, + sym_procedure_parameter_clause, + STATE(5471), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [206311] = 8, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6923), 6, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [206345] = 9, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6973), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [206381] = 9, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6975), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [206417] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4223), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4224), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4239), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7202), 2, + anon_sym_SEMI, + aux_sym__report_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [206455] = 9, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6925), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [206491] = 9, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6991), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [206527] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6979), 2, + anon_sym_SEMI, + aux_sym__report_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [206565] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6903), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + ACTIONS(7205), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [206603] = 8, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6945), 6, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [206637] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6943), 2, + anon_sym_SEMI, + aux_sym__report_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [206675] = 9, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6953), 4, + anon_sym_SEMI, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [206711] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1994), 8, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_RBRACK, + aux_sym_range_constraint_token1, + aux_sym__after_token1, + [206747] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6991), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [206783] = 9, + ACTIONS(7069), 1, + aux_sym_PSL_Constant_Parameter_Specification_token1, + ACTIONS(7071), 1, + aux_sym_PSL_HDL_Type_token1, + ACTIONS(7073), 1, + aux_sym_PSL_Type_Class_token1, + STATE(5819), 1, + sym_PSL_Formal_Parameter, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7065), 2, + aux_sym_entity_class_token3, + aux_sym_entity_class_token4, + STATE(5737), 2, + sym_PSL_HDL_Type, + sym_PSL_Type_Class, + STATE(5739), 3, + sym__PSL_Parameter_Specification, + sym_PSL_Constant_Parameter_Specification, + sym_PSL_Temporal_Parameter_Specification, + ACTIONS(7067), 4, + aux_sym_boolean_token1, + aux_sym_PSL_Type_Class_token2, + aux_sym_PSL_Type_Class_token3, + aux_sym_PSL_Type_Class_token4, + [206819] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4209), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4235), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6877), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6953), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [206855] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7251), 1, + anon_sym_RPAREN, + STATE(4299), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4300), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4314), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [206892] = 8, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(7255), 1, + aux_sym_entity_declaration_token2, + ACTIONS(7257), 1, + aux_sym_entity_declaration_token4, + STATE(7149), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3335), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + ACTIONS(4881), 5, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + [206925] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7259), 1, + anon_sym_SEMI, + STATE(4223), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4224), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4239), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [206962] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7049), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [206999] = 8, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6923), 5, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [207032] = 8, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(7261), 1, + aux_sym_entity_declaration_token2, + ACTIONS(7263), 1, + aux_sym_entity_declaration_token4, + STATE(6977), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3335), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + ACTIONS(1936), 5, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + [207065] = 8, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(7265), 1, + aux_sym_entity_declaration_token2, + ACTIONS(7267), 1, + aux_sym_entity_declaration_token4, + STATE(6975), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3335), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + ACTIONS(4881), 5, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + [207098] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207119] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6925), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [207154] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207175] = 5, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6913), 11, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207202] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7271), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207223] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7158), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [207260] = 5, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6917), 11, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207287] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7273), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207308] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7275), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207329] = 8, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6941), 5, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [207362] = 13, + ACTIONS(1992), 1, + sym_basic_identifier, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2189), 1, + aux_sym_string_literal_token2, + ACTIONS(2191), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6734), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1994), 2, + aux_sym_range_constraint_token1, + sym_extended_identifier, + ACTIONS(2196), 2, + anon_sym_LT_LT, + aux_sym_string_literal_token1, + [207405] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7162), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [207442] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207463] = 8, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6945), 5, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Bounding_FL_Property_token1, + [207496] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7277), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207517] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7279), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207538] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(6943), 1, + anon_sym_RPAREN, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [207575] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207596] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207617] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7120), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [207660] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6953), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [207695] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7289), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207716] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207737] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7121), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [207780] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6873), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [207803] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7095), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [207840] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7122), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [207883] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7295), 1, + anon_sym_SEMI, + ACTIONS(7297), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7299), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7301), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7303), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + STATE(6832), 1, + sym__end_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [207930] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7099), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [207973] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7305), 1, + anon_sym_SEMI, + ACTIONS(7307), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7309), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7311), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7313), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + STATE(6843), 1, + sym__end_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [208020] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7100), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [208063] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7315), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [208084] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7109), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [208127] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7127), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [208170] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [208191] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6991), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [208226] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [208247] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7317), 1, + anon_sym_SEMI, + ACTIONS(7319), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7321), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7323), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7325), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + STATE(7161), 1, + sym__end_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [208294] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7327), 1, + anon_sym_SEMI, + ACTIONS(7329), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7331), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7333), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7335), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + STATE(6870), 1, + sym__end_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [208341] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7337), 1, + anon_sym_SEMI, + ACTIONS(7339), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7341), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7343), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7345), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + STATE(7156), 1, + sym__end_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [208388] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7128), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [208431] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7347), 1, + anon_sym_SEMI, + ACTIONS(7349), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7351), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7353), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7355), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + STATE(6877), 1, + sym__end_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [208478] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [208499] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7031), 1, + anon_sym_RPAREN, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [208536] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [208557] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7129), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [208600] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6879), 12, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [208623] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7357), 1, + anon_sym_RPAREN, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [208660] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7289), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [208681] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7359), 1, + anon_sym_RPAREN, + STATE(4331), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4332), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4333), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [208718] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7289), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [208739] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7361), 1, + anon_sym_RPAREN, + STATE(4323), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4328), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4329), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [208776] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7363), 1, + anon_sym_RPAREN, + STATE(4251), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4306), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4318), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [208813] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [208834] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7061), 1, + anon_sym_RPAREN, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [208871] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7365), 1, + anon_sym_RPAREN, + STATE(4250), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4285), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4287), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [208908] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7367), 1, + anon_sym_RPAREN, + STATE(4282), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4283), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4284), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [208945] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7047), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [208982] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7369), 1, + anon_sym_SEMI, + STATE(4223), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4224), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4239), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [209019] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7371), 1, + anon_sym_RPAREN, + STATE(4277), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4278), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4279), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [209056] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7178), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [209093] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7373), 1, + anon_sym_SEMI, + STATE(4223), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4224), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4239), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [209130] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7375), 1, + anon_sym_SEMI, + ACTIONS(7377), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7379), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7381), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7383), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6564), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [209177] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7385), 1, + anon_sym_RPAREN, + STATE(4270), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4271), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4272), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [209214] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7387), 1, + anon_sym_RPAREN, + STATE(4264), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4265), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4295), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [209251] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7389), 6, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_COLON, + aux_sym__condition_clause_token1, + [209288] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7389), 6, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_COLON, + aux_sym__condition_clause_token1, + [209325] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [209346] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7393), 1, + anon_sym_RPAREN, + STATE(4260), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4261), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4262), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [209383] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7395), 1, + anon_sym_RPAREN, + STATE(4258), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4297), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4304), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [209420] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + ACTIONS(7399), 1, + anon_sym_COMMA, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4838), 1, + aux_sym_signal_list_repeat1, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7397), 4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + [209461] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7399), 1, + anon_sym_COMMA, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4838), 1, + aux_sym_signal_list_repeat1, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7397), 4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + [209502] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7401), 1, + anon_sym_SEMI, + ACTIONS(7403), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7405), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7407), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7409), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6028), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [209549] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6915), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [209570] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7063), 1, + anon_sym_RPAREN, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [209607] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7411), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [209628] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7413), 1, + anon_sym_SEMI, + ACTIONS(7415), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7417), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7419), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7421), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6042), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [209675] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7423), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [209696] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7411), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [209717] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7425), 1, + anon_sym_RPAREN, + STATE(4259), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4290), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4321), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [209754] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7427), 1, + anon_sym_RPAREN, + STATE(4230), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4266), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4280), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [209791] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7429), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [209812] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7431), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [209833] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7433), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [209854] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7132), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [209897] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6975), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [209932] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6973), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [209967] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [209988] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [210009] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7435), 1, + anon_sym_SEMI, + ACTIONS(7437), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7439), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7441), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7443), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6519), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [210056] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7133), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [210099] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7445), 1, + anon_sym_SEMI, + ACTIONS(7447), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7449), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7451), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7453), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6215), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [210146] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7455), 1, + anon_sym_SEMI, + ACTIONS(7457), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7459), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7461), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7463), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6218), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [210193] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6933), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [210228] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7134), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [210271] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7136), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [210314] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7137), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [210357] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [210378] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7465), 1, + anon_sym_SEMI, + ACTIONS(7467), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7469), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7471), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7473), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6223), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [210425] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7475), 1, + anon_sym_SEMI, + ACTIONS(7477), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7479), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7481), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7483), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6226), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [210472] = 9, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + ACTIONS(6931), 3, + anon_sym_RPAREN, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [210507] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7485), 1, + anon_sym_SEMI, + ACTIONS(7487), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7489), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7491), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7493), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6471), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [210554] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7097), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [210591] = 15, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(7495), 1, + anon_sym_SEMI, + ACTIONS(7497), 1, + aux_sym__procedure_specification_token1, + ACTIONS(7499), 1, + aux_sym__procedure_specification_token2, + ACTIONS(7501), 1, + aux_sym__procedure_specification_token3, + ACTIONS(7503), 1, + aux_sym__function_specification_token1, + STATE(3646), 1, + sym_string_literal, + STATE(6463), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [210638] = 10, + ACTIONS(4618), 1, + anon_sym_AT2, + ACTIONS(7170), 1, + anon_sym_RPAREN, + STATE(4218), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + STATE(4310), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4320), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6788), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6794), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [210675] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7505), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [210696] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(6980), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [210739] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7507), 1, + anon_sym_SEMI, + STATE(4223), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4224), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4239), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [210776] = 10, + ACTIONS(4780), 1, + anon_sym_AT2, + ACTIONS(7176), 1, + anon_sym_SEMI, + STATE(4219), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + STATE(4220), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + STATE(4221), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(6833), 2, + aux_sym__condition_clause_token1, + aux_sym_PSL_Bounding_FL_Property_token1, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6837), 3, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + [210813] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7138), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [210856] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7269), 14, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [210877] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(6978), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [210920] = 8, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(7509), 1, + aux_sym_entity_declaration_token2, + ACTIONS(7511), 1, + aux_sym_entity_declaration_token4, + STATE(7125), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3335), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + ACTIONS(1936), 5, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + [210953] = 13, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(7283), 1, + anon_sym_AT, + ACTIONS(7285), 1, + anon_sym_DOT2, + ACTIONS(7287), 1, + anon_sym_CARET_DOT, + STATE(4503), 1, + aux_sym_relative_pathname_repeat1, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(7086), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(6979), 3, + sym_package_pathname, + sym_absolute_pathname, + sym_relative_pathname, + [210996] = 5, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4227), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + ACTIONS(6178), 7, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + [211022] = 4, + STATE(4216), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7205), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211046] = 4, + STATE(4216), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7205), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211070] = 3, + ACTIONS(2085), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2087), 12, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + [211092] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7515), 11, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211116] = 4, + ACTIONS(7519), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7517), 11, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211140] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 11, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211164] = 5, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4208), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + ACTIONS(6228), 7, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + [211190] = 4, + STATE(4216), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7526), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7524), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211214] = 4, + STATE(4217), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7531), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7529), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211238] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 11, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211262] = 4, + STATE(4247), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 10, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211286] = 4, + STATE(4229), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 10, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211310] = 4, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4231), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 11, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211334] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(7536), 1, + anon_sym_SEMI, + ACTIONS(7538), 1, + anon_sym_LPAREN, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5252), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7540), 2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + ACTIONS(7544), 2, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [211374] = 4, + STATE(4229), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 10, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211398] = 4, + STATE(4247), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 10, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211422] = 4, + STATE(4247), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 10, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211446] = 4, + STATE(4229), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 10, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211470] = 5, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3282), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + ACTIONS(6314), 7, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + [211496] = 4, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4231), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 11, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211520] = 4, + STATE(4229), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7546), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7524), 10, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211544] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 11, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211568] = 4, + ACTIONS(7549), 1, + anon_sym_AT2, + STATE(4231), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7517), 11, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211592] = 4, + STATE(4216), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7205), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7552), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211616] = 4, + STATE(4217), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7554), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211640] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(7399), 1, + anon_sym_COMMA, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4838), 1, + aux_sym_signal_list_repeat1, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7397), 4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + [211678] = 4, + STATE(4217), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211702] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + ACTIONS(7556), 1, + anon_sym_SEMI, + ACTIONS(7558), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5252), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7540), 2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + ACTIONS(7544), 2, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [211742] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7399), 1, + anon_sym_COMMA, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4838), 1, + aux_sym_signal_list_repeat1, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7397), 4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + [211780] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7389), 6, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_COLON, + aux_sym__condition_clause_token1, + [211814] = 4, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4231), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 11, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211838] = 4, + STATE(4216), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7205), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211862] = 4, + STATE(4217), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211886] = 4, + ACTIONS(4780), 1, + anon_sym_AT2, + STATE(4231), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7515), 11, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211910] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7389), 6, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_COLON, + aux_sym__condition_clause_token1, + [211944] = 4, + STATE(4229), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6993), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7552), 10, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211968] = 4, + STATE(4217), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6875), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 10, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [211992] = 6, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(6762), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1386), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3236), 7, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + [212020] = 4, + STATE(4247), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7560), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7529), 10, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212044] = 6, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(6762), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4840), 7, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + aux_sym_open_token1, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + anon_sym_COLON_EQ, + [212072] = 4, + STATE(4247), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6831), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7554), 10, + anon_sym_SEMI, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212096] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212119] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212142] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + ACTIONS(7544), 1, + anon_sym_LT_EQ2, + ACTIONS(7563), 1, + anon_sym_SEMI, + ACTIONS(7565), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5252), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7540), 2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [212181] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7567), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6474), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [212216] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7569), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5988), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [212251] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7571), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7524), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212274] = 3, + ACTIONS(2167), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2169), 11, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + [212295] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7574), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6475), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [212330] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212353] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212376] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212399] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212422] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212445] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212468] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212491] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212514] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212537] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + ACTIONS(7544), 1, + anon_sym_LT_EQ2, + ACTIONS(7576), 1, + anon_sym_SEMI, + ACTIONS(7578), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5252), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7540), 2, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [212576] = 10, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(7580), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3653), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [212611] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7582), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5987), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [212646] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212669] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212692] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212715] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7584), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5956), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [212750] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7586), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6148), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [212785] = 10, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1096), 1, + aux_sym_character_literal_token1, + ACTIONS(1098), 1, + aux_sym_character_literal_token2, + ACTIONS(7588), 1, + sym_basic_identifier, + ACTIONS(7590), 1, + aux_sym_all_token1, + STATE(1837), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(574), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [212820] = 10, + ACTIONS(625), 1, + aux_sym_character_literal_token1, + ACTIONS(627), 1, + aux_sym_character_literal_token2, + ACTIONS(629), 1, + aux_sym_string_literal_token1, + ACTIONS(631), 1, + aux_sym_string_literal_token2, + ACTIONS(1154), 1, + sym_extended_identifier, + ACTIONS(7592), 1, + sym_basic_identifier, + ACTIONS(7594), 1, + aux_sym_all_token1, + STATE(2051), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1971), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [212855] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212878] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212901] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212924] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212947] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212970] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [212993] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213016] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213039] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213062] = 6, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(7596), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1386), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(3236), 6, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_GT_GT, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + [213089] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213112] = 10, + ACTIONS(6686), 1, + sym_extended_identifier, + ACTIONS(7598), 1, + sym_basic_identifier, + ACTIONS(7600), 1, + aux_sym_all_token1, + ACTIONS(7602), 1, + aux_sym_character_literal_token1, + ACTIONS(7604), 1, + aux_sym_character_literal_token2, + ACTIONS(7606), 1, + aux_sym_string_literal_token1, + ACTIONS(7608), 1, + aux_sym_string_literal_token2, + STATE(980), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2908), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [213147] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213170] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213193] = 10, + ACTIONS(6680), 1, + sym_extended_identifier, + ACTIONS(7610), 1, + sym_basic_identifier, + ACTIONS(7612), 1, + aux_sym_all_token1, + ACTIONS(7614), 1, + aux_sym_character_literal_token1, + ACTIONS(7616), 1, + aux_sym_character_literal_token2, + ACTIONS(7618), 1, + aux_sym_string_literal_token1, + ACTIONS(7620), 1, + aux_sym_string_literal_token2, + STATE(1896), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1769), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [213228] = 10, + ACTIONS(625), 1, + aux_sym_character_literal_token1, + ACTIONS(627), 1, + aux_sym_character_literal_token2, + ACTIONS(629), 1, + aux_sym_string_literal_token1, + ACTIONS(631), 1, + aux_sym_string_literal_token2, + ACTIONS(1154), 1, + sym_extended_identifier, + ACTIONS(7592), 1, + sym_basic_identifier, + ACTIONS(7622), 1, + aux_sym_all_token1, + STATE(2208), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1971), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [213263] = 10, + ACTIONS(6724), 1, + sym_extended_identifier, + ACTIONS(7612), 1, + aux_sym_all_token1, + ACTIONS(7624), 1, + sym_basic_identifier, + ACTIONS(7626), 1, + aux_sym_character_literal_token1, + ACTIONS(7628), 1, + aux_sym_character_literal_token2, + ACTIONS(7630), 1, + aux_sym_string_literal_token1, + ACTIONS(7632), 1, + aux_sym_string_literal_token2, + STATE(1896), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1986), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [213298] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7634), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6743), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [213333] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213356] = 10, + ACTIONS(1872), 1, + sym_extended_identifier, + ACTIONS(1878), 1, + aux_sym_character_literal_token1, + ACTIONS(1880), 1, + aux_sym_character_literal_token2, + ACTIONS(1882), 1, + aux_sym_string_literal_token1, + ACTIONS(1884), 1, + aux_sym_string_literal_token2, + ACTIONS(7590), 1, + aux_sym_all_token1, + ACTIONS(7636), 1, + sym_basic_identifier, + STATE(1837), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3149), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [213391] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213414] = 10, + ACTIONS(791), 1, + aux_sym_character_literal_token1, + ACTIONS(793), 1, + aux_sym_character_literal_token2, + ACTIONS(795), 1, + aux_sym_string_literal_token1, + ACTIONS(797), 1, + aux_sym_string_literal_token2, + ACTIONS(1736), 1, + sym_extended_identifier, + ACTIONS(7622), 1, + aux_sym_all_token1, + ACTIONS(7638), 1, + sym_basic_identifier, + STATE(2208), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1972), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [213449] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213472] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213495] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6873), 10, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213516] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7642), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6146), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [213551] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7644), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6145), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [213586] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213609] = 10, + ACTIONS(1832), 1, + sym_extended_identifier, + ACTIONS(1838), 1, + aux_sym_character_literal_token1, + ACTIONS(1840), 1, + aux_sym_character_literal_token2, + ACTIONS(1842), 1, + aux_sym_string_literal_token1, + ACTIONS(1844), 1, + aux_sym_string_literal_token2, + ACTIONS(7622), 1, + aux_sym_all_token1, + ACTIONS(7646), 1, + sym_basic_identifier, + STATE(2208), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(2745), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [213644] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213667] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7648), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6473), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [213702] = 10, + ACTIONS(1164), 1, + sym_extended_identifier, + ACTIONS(7600), 1, + aux_sym_all_token1, + ACTIONS(7650), 1, + sym_basic_identifier, + ACTIONS(7652), 1, + aux_sym_character_literal_token1, + ACTIONS(7654), 1, + aux_sym_character_literal_token2, + ACTIONS(7656), 1, + aux_sym_string_literal_token1, + ACTIONS(7658), 1, + aux_sym_string_literal_token2, + STATE(980), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(509), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [213737] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7660), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6750), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [213772] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213795] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6879), 10, + anon_sym_SEMI, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + aux_sym__report_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213816] = 3, + ACTIONS(2171), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2173), 11, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + [213837] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7662), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6472), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [213872] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213895] = 3, + ACTIONS(2185), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2187), 11, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + [213916] = 10, + ACTIONS(6680), 1, + sym_extended_identifier, + ACTIONS(7610), 1, + sym_basic_identifier, + ACTIONS(7614), 1, + aux_sym_character_literal_token1, + ACTIONS(7616), 1, + aux_sym_character_literal_token2, + ACTIONS(7618), 1, + aux_sym_string_literal_token1, + ACTIONS(7620), 1, + aux_sym_string_literal_token2, + ACTIONS(7664), 1, + aux_sym_all_token1, + STATE(1829), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1769), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [213951] = 3, + ACTIONS(2163), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2165), 11, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_COLON_EQ, + aux_sym__condition_clause_token1, + anon_sym_LT_EQ2, + [213972] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [213995] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7666), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5990), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [214030] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214053] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214076] = 10, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(7580), 1, + sym_basic_identifier, + ACTIONS(7668), 1, + aux_sym_string_literal_token1, + ACTIONS(7670), 1, + aux_sym_string_literal_token2, + STATE(3646), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3653), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [214111] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214134] = 10, + ACTIONS(1164), 1, + sym_extended_identifier, + ACTIONS(7650), 1, + sym_basic_identifier, + ACTIONS(7652), 1, + aux_sym_character_literal_token1, + ACTIONS(7654), 1, + aux_sym_character_literal_token2, + ACTIONS(7656), 1, + aux_sym_string_literal_token1, + ACTIONS(7658), 1, + aux_sym_string_literal_token2, + ACTIONS(7672), 1, + aux_sym_all_token1, + STATE(1854), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(509), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [214169] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7674), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7529), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214192] = 10, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7677), 1, + sym_basic_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(5805), 1, + sym_entity_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5305), 4, + sym__operator_symbol, + sym__entity_tag, + sym__simple_name, + sym_character_literal, + [214227] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214250] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214273] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214296] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7554), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214319] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214342] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7513), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214365] = 4, + ACTIONS(4618), 1, + anon_sym_AT2, + STATE(4213), 1, + aux_sym_PSL_Clocked_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7522), 10, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214388] = 10, + ACTIONS(6698), 1, + sym_extended_identifier, + ACTIONS(7664), 1, + aux_sym_all_token1, + ACTIONS(7679), 1, + sym_basic_identifier, + ACTIONS(7681), 1, + aux_sym_character_literal_token1, + ACTIONS(7683), 1, + aux_sym_character_literal_token2, + ACTIONS(7685), 1, + aux_sym_string_literal_token1, + ACTIONS(7687), 1, + aux_sym_string_literal_token2, + STATE(1829), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1756), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [214423] = 4, + STATE(4255), 1, + aux_sym_PSL_Implication_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7253), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(7552), 9, + anon_sym_RPAREN, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + aux_sym__condition_clause_token1, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214446] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7689), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6144), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [214481] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7691), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6759), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [214516] = 10, + ACTIONS(6698), 1, + sym_extended_identifier, + ACTIONS(7612), 1, + aux_sym_all_token1, + ACTIONS(7679), 1, + sym_basic_identifier, + ACTIONS(7681), 1, + aux_sym_character_literal_token1, + ACTIONS(7683), 1, + aux_sym_character_literal_token2, + ACTIONS(7685), 1, + aux_sym_string_literal_token1, + ACTIONS(7687), 1, + aux_sym_string_literal_token2, + STATE(1896), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1756), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [214551] = 10, + ACTIONS(791), 1, + aux_sym_character_literal_token1, + ACTIONS(793), 1, + aux_sym_character_literal_token2, + ACTIONS(795), 1, + aux_sym_string_literal_token1, + ACTIONS(797), 1, + aux_sym_string_literal_token2, + ACTIONS(1736), 1, + sym_extended_identifier, + ACTIONS(7594), 1, + aux_sym_all_token1, + ACTIONS(7638), 1, + sym_basic_identifier, + STATE(2051), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1972), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [214586] = 10, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7693), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + STATE(6752), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [214621] = 4, + STATE(4325), 1, + aux_sym_PSL_Logical_FL_Property_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6786), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + ACTIONS(7534), 9, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + anon_sym_AT2, + aux_sym_PSL_Termination_FL_Property_token1, + aux_sym_PSL_Termination_FL_Property_token2, + aux_sym_PSL_Termination_FL_Property_token3, + aux_sym_PSL_Bounding_FL_Property_token1, + [214644] = 10, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1096), 1, + aux_sym_character_literal_token1, + ACTIONS(1098), 1, + aux_sym_character_literal_token2, + ACTIONS(7588), 1, + sym_basic_identifier, + ACTIONS(7695), 1, + aux_sym_all_token1, + STATE(2022), 1, + sym_string_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(574), 4, + sym__operator_symbol, + sym_all, + sym__simple_name, + sym_character_literal, + [214679] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7697), 1, + anon_sym_SEMI, + ACTIONS(7699), 1, + anon_sym_COMMA, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + STATE(5525), 1, + aux_sym_use_clause_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [214717] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + ACTIONS(7576), 1, + anon_sym_SEMI, + ACTIONS(7578), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5252), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7544), 2, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [214753] = 3, + ACTIONS(7701), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6744), 10, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [214773] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + ACTIONS(7563), 1, + anon_sym_SEMI, + ACTIONS(7565), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5252), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7544), 2, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [214809] = 3, + ACTIONS(7703), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6758), 10, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [214829] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7705), 3, + anon_sym_SEMI, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [214863] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7707), 1, + anon_sym_SEMI, + ACTIONS(7709), 1, + anon_sym_COMMA, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + STATE(5414), 1, + aux_sym_verification_unit_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [214901] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7699), 1, + anon_sym_COMMA, + ACTIONS(7711), 1, + anon_sym_SEMI, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + STATE(5418), 1, + aux_sym_use_clause_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [214939] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7540), 3, + anon_sym_SEMI, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [214973] = 11, + ACTIONS(615), 1, + aux_sym_others_token1, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(1972), 1, + aux_sym_all_token1, + ACTIONS(5960), 1, + sym_basic_identifier, + STATE(4052), 1, + sym__component_specification, + STATE(4562), 1, + sym_pathname_element, + STATE(4645), 1, + sym__simple_name, + STATE(5293), 1, + sym_generate_statement_element, + STATE(7300), 1, + sym_instantiation_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7299), 2, + sym_all, + sym_others, + [215009] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7713), 1, + anon_sym_SEMI, + ACTIONS(7715), 1, + anon_sym_COMMA, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + STATE(5487), 1, + aux_sym_PSL_Inherit_Spec_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215047] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + ACTIONS(7713), 1, + anon_sym_SEMI, + ACTIONS(7715), 1, + anon_sym_COMMA, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + STATE(5487), 1, + aux_sym_PSL_Inherit_Spec_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215085] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + ACTIONS(7399), 1, + anon_sym_COMMA, + ACTIONS(7717), 1, + anon_sym_COLON, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + STATE(5499), 1, + aux_sym_signal_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215123] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7399), 1, + anon_sym_COMMA, + ACTIONS(7717), 1, + anon_sym_COLON, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + STATE(5499), 1, + aux_sym_signal_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215161] = 7, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4844), 1, + anon_sym_SQUOTE, + ACTIONS(7596), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + ACTIONS(4840), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + [215189] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7721), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7719), 3, + anon_sym_SEMI, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [215223] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7723), 3, + anon_sym_SEMI, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [215257] = 3, + ACTIONS(7725), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6756), 10, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [215277] = 3, + ACTIONS(7727), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5436), 10, + anon_sym_COMMA, + anon_sym_LBRACK, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [215297] = 12, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7699), 1, + anon_sym_COMMA, + ACTIONS(7729), 1, + anon_sym_SEMI, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + STATE(5498), 1, + aux_sym_use_clause_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215335] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7733), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6046), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215370] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7735), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6289), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215405] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7737), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [215438] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7739), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6383), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215473] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7741), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6384), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215508] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7743), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6385), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215543] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7745), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6376), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215578] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7747), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6381), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215613] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7749), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6386), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215648] = 10, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(7751), 1, + sym_basic_identifier, + ACTIONS(7753), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(5885), 1, + sym__alias_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5886), 2, + sym__operator_symbol, + sym_character_literal, + [215681] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2196), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [215714] = 3, + ACTIONS(7755), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4881), 9, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [215733] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7757), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6379), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215768] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7759), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6382), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215803] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7761), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [215836] = 7, + ACTIONS(59), 1, + aux_sym_binding_indication_token1, + ACTIONS(95), 1, + aux_sym_library_clause_token1, + ACTIONS(2027), 1, + aux_sym_context_declaration_token1, + ACTIONS(7763), 1, + aux_sym_entity_declaration_token4, + STATE(6744), 1, + sym_context_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(537), 5, + sym_use_clause, + sym_library_clause, + sym__context_item, + sym_context_reference, + aux_sym_context_clause_repeat1, + [215863] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7765), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6387), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215898] = 7, + ACTIONS(59), 1, + aux_sym_binding_indication_token1, + ACTIONS(95), 1, + aux_sym_library_clause_token1, + ACTIONS(2027), 1, + aux_sym_context_declaration_token1, + ACTIONS(7767), 1, + aux_sym_entity_declaration_token4, + STATE(6751), 1, + sym_context_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(537), 5, + sym_use_clause, + sym_library_clause, + sym__context_item, + sym_context_reference, + aux_sym_context_clause_repeat1, + [215925] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7769), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6363), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [215960] = 3, + ACTIONS(7771), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1936), 9, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [215979] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7773), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [216012] = 4, + ACTIONS(7775), 1, + anon_sym_SEMI, + STATE(3094), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5854), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [216033] = 4, + ACTIONS(7779), 1, + anon_sym_SEMI, + STATE(3093), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5849), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [216054] = 4, + ACTIONS(7782), 1, + anon_sym_SEMI, + STATE(3092), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5812), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [216075] = 4, + ACTIONS(7785), 1, + anon_sym_SEMI, + STATE(3091), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5845), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [216096] = 4, + ACTIONS(7788), 1, + anon_sym_SEMI, + STATE(3067), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5840), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [216117] = 4, + ACTIONS(7792), 1, + anon_sym_SEMI, + STATE(3089), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(5784), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [216138] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7795), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + STATE(6847), 1, + sym__end_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216173] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7797), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6388), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216208] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7799), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + STATE(6848), 1, + sym__end_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216243] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7801), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6395), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216278] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7803), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6396), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216313] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5252), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7544), 2, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [216346] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7805), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6597), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216381] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7807), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + STATE(6882), 1, + sym__end_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216416] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7809), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + STATE(6883), 1, + sym__end_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216451] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7811), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6596), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216486] = 4, + ACTIONS(7813), 1, + anon_sym_SEMI, + STATE(3121), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6433), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [216507] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7399), 1, + anon_sym_COMMA, + ACTIONS(7717), 1, + anon_sym_COLON, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + STATE(5499), 1, + aux_sym_signal_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216542] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(7399), 1, + anon_sym_COMMA, + ACTIONS(7717), 1, + anon_sym_COLON, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + STATE(5499), 1, + aux_sym_signal_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216577] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(7713), 1, + anon_sym_SEMI, + ACTIONS(7715), 1, + anon_sym_COMMA, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + STATE(5487), 1, + aux_sym_PSL_Inherit_Spec_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216612] = 11, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7713), 1, + anon_sym_SEMI, + ACTIONS(7715), 1, + anon_sym_COMMA, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + STATE(5487), 1, + aux_sym_PSL_Inherit_Spec_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216647] = 4, + ACTIONS(7816), 1, + anon_sym_SEMI, + STATE(3116), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6418), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [216668] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7819), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6595), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216703] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7821), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6594), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216738] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7823), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6589), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216773] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7825), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6588), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216808] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7827), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6538), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216843] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7829), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6570), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216878] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7831), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [216911] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7831), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [216944] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7833), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6027), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [216979] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7835), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6026), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217014] = 7, + ACTIONS(7071), 1, + aux_sym_PSL_HDL_Type_token1, + ACTIONS(7073), 1, + aux_sym_PSL_Type_Class_token1, + ACTIONS(7837), 1, + sym_basic_identifier, + ACTIONS(7839), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5717), 2, + sym_PSL_HDL_Type, + sym_PSL_Type_Class, + ACTIONS(7067), 4, + aux_sym_boolean_token1, + aux_sym_PSL_Type_Class_token2, + aux_sym_PSL_Type_Class_token3, + aux_sym_PSL_Type_Class_token4, + [217041] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7841), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6579), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217076] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7843), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [217109] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7845), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6561), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217144] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7847), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6568), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217179] = 4, + ACTIONS(7849), 1, + anon_sym_SEMI, + STATE(3088), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6447), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [217200] = 4, + ACTIONS(7852), 1, + anon_sym_SEMI, + STATE(3106), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6442), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [217221] = 4, + ACTIONS(7856), 1, + anon_sym_SEMI, + STATE(3109), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6437), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [217242] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7859), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6556), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217277] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7861), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6548), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217312] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7863), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6546), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217347] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7865), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6567), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217382] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7867), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6545), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217417] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7869), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6543), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217452] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7871), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6541), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217487] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7873), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6449), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217522] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7875), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5989), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217557] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7877), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6397), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217592] = 4, + ACTIONS(7879), 1, + anon_sym_SEMI, + STATE(3095), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6429), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [217613] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7882), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6398), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217648] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7884), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6400), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217683] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7886), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6032), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217718] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7888), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6033), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217753] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7890), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6401), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217788] = 4, + ACTIONS(7892), 1, + anon_sym_SEMI, + STATE(3113), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6422), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [217809] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7895), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5992), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217844] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7897), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6402), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217879] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7899), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5986), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217914] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7901), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6034), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217949] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7903), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5985), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [217984] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7905), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5984), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218019] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7907), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6403), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218054] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7909), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6035), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218089] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7911), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6038), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218124] = 4, + ACTIONS(7913), 1, + anon_sym_SEMI, + STATE(3114), 1, + sym__semicolon, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6413), 8, + aux_sym_entity_declaration_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + aux_sym_procedure_parameter_clause_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [218145] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7917), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5983), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218180] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7919), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6039), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218215] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7921), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6041), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218250] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7923), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6540), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218285] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7925), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6531), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218320] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7927), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6637), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218355] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7929), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5934), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218390] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7931), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5935), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218425] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7933), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6537), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218460] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7935), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6523), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218495] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7937), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6045), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218530] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4648), 2, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + [218563] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7939), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6048), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218598] = 8, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7941), 1, + anon_sym_SEMI, + ACTIONS(7943), 1, + aux_sym_binding_indication_token1, + STATE(2290), 1, + sym_binding_indication, + STATE(7253), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [218627] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7945), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6050), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218662] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7947), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6051), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218697] = 9, + ACTIONS(1996), 1, + anon_sym_LPAREN, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(7125), 1, + anon_sym_SQUOTE, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1994), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + aux_sym_range_constraint_token1, + [218728] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7125), 1, + anon_sym_SQUOTE, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2031), 2, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + [218761] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2031), 2, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + [218794] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7949), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6372), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218829] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7951), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6052), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218864] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7953), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6053), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218899] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7955), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6054), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218934] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7957), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5932), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [218969] = 8, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(7215), 1, + anon_sym_SEMI, + ACTIONS(7959), 1, + aux_sym_binding_indication_token1, + STATE(644), 1, + sym_binding_indication, + STATE(7183), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [218998] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7961), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6298), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219033] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7963), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6522), 1, + sym__end_designator, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219068] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7965), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6297), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219103] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7967), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6221), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219138] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7969), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6222), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219173] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7971), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6296), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219208] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7973), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6290), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219243] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5252), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7544), 2, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [219276] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7975), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6229), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219311] = 10, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(7751), 1, + sym_basic_identifier, + ACTIONS(7753), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(5769), 1, + sym__alias_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5886), 2, + sym__operator_symbol, + sym_character_literal, + [219344] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7977), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6293), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219379] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7979), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6230), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219414] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7981), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6291), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219449] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7983), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5945), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219484] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7985), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(6073), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219519] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7987), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5943), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219554] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7989), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5942), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219589] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7991), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5936), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219624] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7993), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5941), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219659] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7995), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5937), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219694] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7997), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5938), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219729] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(7999), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5939), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219764] = 11, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8001), 1, + anon_sym_SEMI, + STATE(3646), 1, + sym_string_literal, + STATE(5940), 1, + sym__end_designator, + STATE(6469), 1, + sym__end_simple_name, + STATE(6470), 1, + sym__operator_symbol, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219799] = 8, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4799), 1, + sym_procedure_parameter_clause, + STATE(5580), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8003), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [219827] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8005), 1, + anon_sym_SEMI, + ACTIONS(8007), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219859] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5252), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7544), 2, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [219889] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5252), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7544), 2, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [219919] = 10, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + ACTIONS(8009), 1, + anon_sym_CARET_DOT, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5297), 1, + aux_sym_relative_pathname_repeat1, + STATE(5502), 1, + sym__simple_name, + STATE(6833), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [219951] = 8, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4804), 1, + sym_function_parameter_clause, + STATE(5588), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8011), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [219979] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8013), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5043), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220011] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8015), 1, + anon_sym_SEMI, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5044), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220043] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + ACTIONS(8017), 1, + anon_sym_SEMI, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5045), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220075] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8019), 1, + aux_sym_entity_declaration_token2, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220107] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8021), 1, + aux_sym_entity_declaration_token2, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220139] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7556), 1, + anon_sym_SEMI, + ACTIONS(7558), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220171] = 7, + ACTIONS(767), 1, + anon_sym_LBRACK, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + STATE(4655), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8023), 2, + anon_sym_COMMA, + anon_sym_RBRACE, + ACTIONS(8025), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(8027), 2, + anon_sym_COLON2, + anon_sym_SEMI2, + [220197] = 7, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4844), 1, + anon_sym_SQUOTE, + ACTIONS(8029), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4840), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + [220223] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7831), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [220253] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7831), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [220283] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8031), 1, + aux_sym_entity_declaration_token2, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220315] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8033), 1, + aux_sym_entity_declaration_token2, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220347] = 8, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4803), 1, + sym_function_parameter_clause, + STATE(5586), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8035), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [220375] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8037), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220407] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + ACTIONS(8039), 1, + anon_sym_SEMI, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5156), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220439] = 7, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(7265), 1, + aux_sym_entity_declaration_token2, + ACTIONS(7267), 1, + aux_sym_entity_declaration_token4, + STATE(6975), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3335), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [220465] = 8, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4801), 1, + sym_procedure_parameter_clause, + STATE(5582), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8041), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [220493] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2031), 2, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + [220523] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(7125), 1, + anon_sym_SQUOTE, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2031), 2, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + [220553] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8043), 1, + anon_sym_SEMI, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5160), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220585] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8045), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5164), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220617] = 7, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(7261), 1, + aux_sym_entity_declaration_token2, + ACTIONS(7263), 1, + aux_sym_entity_declaration_token4, + STATE(6977), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3335), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [220643] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8047), 1, + anon_sym_SEMI, + ACTIONS(8049), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220675] = 8, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4738), 1, + sym_function_parameter_clause, + STATE(5656), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8051), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [220703] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3685), 1, + sym__attribute_designator, + STATE(3686), 1, + sym__predefined_attribute_designator, + STATE(3687), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2031), 2, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + [220733] = 8, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4788), 1, + sym_procedure_parameter_clause, + STATE(5680), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8053), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [220761] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8055), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5001), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220793] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + ACTIONS(8057), 1, + anon_sym_SEMI, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4919), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220825] = 8, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(7021), 1, + anon_sym_LPAREN, + ACTIONS(7023), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4786), 1, + sym_function_parameter_clause, + STATE(5685), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8059), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [220853] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8061), 1, + anon_sym_SEMI, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4993), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220885] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2196), 1, + anon_sym_RPAREN, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220917] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + ACTIONS(8063), 1, + anon_sym_SEMI, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4990), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220949] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7563), 1, + anon_sym_SEMI, + ACTIONS(7565), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [220981] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8065), 1, + anon_sym_SEMI, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(4916), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221013] = 8, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(7009), 1, + anon_sym_LPAREN, + ACTIONS(7011), 1, + aux_sym_procedure_parameter_clause_token1, + STATE(4787), 1, + sym_procedure_parameter_clause, + STATE(5683), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8067), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [221041] = 10, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8069), 1, + anon_sym_SEMI, + STATE(3110), 1, + sym__attribute_designator, + STATE(3111), 1, + sym__predefined_attribute_designator, + STATE(3118), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4917), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221073] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8071), 1, + anon_sym_SEMI, + STATE(4952), 1, + sym_signature, + STATE(5209), 1, + sym__attribute_designator, + STATE(5234), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5246), 1, + sym__predefined_attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221102] = 3, + ACTIONS(8073), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1936), 7, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + [221119] = 6, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(8075), 1, + aux_sym_entity_declaration_token4, + STATE(7023), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3335), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [221142] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5213), 1, + anon_sym_DOT, + ACTIONS(5587), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3216), 1, + sym__attribute_designator, + STATE(3219), 1, + sym__predefined_attribute_designator, + STATE(3221), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221171] = 6, + ACTIONS(59), 1, + aux_sym_binding_indication_token1, + ACTIONS(8077), 1, + aux_sym_entity_declaration_token4, + ACTIONS(8079), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5096), 2, + sym_use_clause, + aux_sym_block_configuration_repeat1, + STATE(5100), 3, + sym_block_configuration, + sym_component_configuration, + aux_sym_block_configuration_repeat2, + [221194] = 6, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(8081), 1, + anon_sym_SEMI, + STATE(7266), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [221217] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8083), 1, + anon_sym_SEMI, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4966), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221246] = 5, + ACTIONS(8085), 1, + anon_sym_AMP, + STATE(4661), 1, + aux_sym_PSL_Compound_SERE_And_repeat1, + STATE(4663), 1, + aux_sym_PSL_Compound_SERE_Within_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8087), 5, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [221267] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4802), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2001), 1, + sym__attribute_designator, + STATE(2002), 1, + sym__predefined_attribute_designator, + STATE(2003), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221296] = 5, + ACTIONS(8089), 1, + anon_sym_AMP, + STATE(4661), 1, + aux_sym_PSL_Compound_SERE_And_repeat1, + STATE(4663), 1, + aux_sym_PSL_Compound_SERE_Within_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8091), 5, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [221317] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221346] = 6, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(7596), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4840), 2, + aux_sym_entity_declaration_token2, + anon_sym_GT_GT, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + [221369] = 6, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(8093), 1, + anon_sym_SEMI, + STATE(7244), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [221392] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8095), 1, + anon_sym_SEMI, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4921), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221421] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8097), 1, + anon_sym_SEMI, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4848), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221450] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8099), 1, + anon_sym_SEMI, + STATE(4954), 1, + sym_signature, + STATE(5242), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5244), 1, + sym__predefined_attribute_designator, + STATE(5245), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221479] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8101), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221508] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8103), 1, + anon_sym_SEMI, + STATE(5152), 1, + sym_signature, + STATE(5209), 1, + sym__attribute_designator, + STATE(5234), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5246), 1, + sym__predefined_attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221537] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8105), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221566] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5291), 1, + anon_sym_DOT, + ACTIONS(5293), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2073), 1, + sym__attribute_designator, + STATE(2074), 1, + sym__predefined_attribute_designator, + STATE(2077), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221595] = 6, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7399), 1, + anon_sym_COMMA, + ACTIONS(8107), 1, + anon_sym_LPAREN, + STATE(4838), 1, + aux_sym_signal_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7397), 4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + [221618] = 6, + ACTIONS(59), 1, + aux_sym_binding_indication_token1, + ACTIONS(8079), 1, + aux_sym_block_configuration_token1, + ACTIONS(8109), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4545), 2, + sym_use_clause, + aux_sym_block_configuration_repeat1, + STATE(5016), 3, + sym_block_configuration, + sym_component_configuration, + aux_sym_block_configuration_repeat2, + [221641] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2001), 1, + sym__attribute_designator, + STATE(2002), 1, + sym__predefined_attribute_designator, + STATE(2003), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221670] = 6, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(8111), 1, + anon_sym_SEMI, + STATE(7325), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [221693] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5019), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221722] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4654), 1, + anon_sym_DOT, + ACTIONS(5021), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2073), 1, + sym__attribute_designator, + STATE(2074), 1, + sym__predefined_attribute_designator, + STATE(2077), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221751] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5291), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221780] = 6, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(8113), 1, + anon_sym_SEMI, + STATE(6952), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [221803] = 4, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7389), 6, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_COLON, + aux_sym__condition_clause_token1, + [221822] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8115), 1, + anon_sym_SEMI, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4924), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221851] = 9, + ACTIONS(5464), 1, + aux_sym_PSL_Assume_Directive_token1, + ACTIONS(5466), 1, + aux_sym_PSL_Assume_Guarantee_Directive_token1, + ACTIONS(5468), 1, + aux_sym_PSL_Restrict_Directive_token1, + ACTIONS(5470), 1, + aux_sym_PSL_Restrict_Guarantee_Directive_token1, + ACTIONS(5472), 1, + aux_sym_PSL_Cover_Directive_token1, + ACTIONS(5474), 1, + aux_sym_PSL_Fairness_Directive_token1, + ACTIONS(5476), 1, + aux_sym_PSL_Strong_Fairness_Directive_token1, + ACTIONS(8117), 1, + aux_sym_assertion_statement_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221880] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8119), 1, + anon_sym_SEMI, + STATE(4927), 1, + sym_signature, + STATE(5242), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5244), 1, + sym__predefined_attribute_designator, + STATE(5245), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221909] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8121), 1, + anon_sym_SEMI, + STATE(4932), 1, + sym_signature, + STATE(5209), 1, + sym__attribute_designator, + STATE(5234), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5246), 1, + sym__predefined_attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221938] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4975), 1, + anon_sym_DOT, + ACTIONS(5293), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2073), 1, + sym__attribute_designator, + STATE(2074), 1, + sym__predefined_attribute_designator, + STATE(2077), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [221967] = 6, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(8123), 1, + anon_sym_SEMI, + STATE(6647), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [221990] = 6, + ACTIONS(6963), 1, + aux_sym_generic_clause_token1, + ACTIONS(6965), 1, + aux_sym_port_clause_token1, + ACTIONS(8125), 1, + anon_sym_SEMI, + STATE(7205), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4215), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [222013] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222042] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(5169), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2001), 1, + sym__attribute_designator, + STATE(2002), 1, + sym__predefined_attribute_designator, + STATE(2003), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222071] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5585), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222100] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4650), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222129] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2194), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222158] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8127), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222187] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222216] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8129), 1, + anon_sym_LPAREN, + STATE(3674), 1, + sym__predefined_attribute_designator, + STATE(3675), 1, + sym__predefined_attribute_designator_with_expression, + STATE(3678), 1, + sym__attribute_designator, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222245] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8025), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6873), 6, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [222262] = 3, + ACTIONS(8131), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4881), 7, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + [222279] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4625), 1, + anon_sym_DOT, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2001), 1, + sym__attribute_designator, + STATE(2002), 1, + sym__predefined_attribute_designator, + STATE(2003), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222308] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222337] = 7, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4840), 1, + anon_sym_SEMI, + ACTIONS(6762), 1, + aux_sym_range_constraint_token1, + ACTIONS(8133), 1, + anon_sym_SQUOTE, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + [222362] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222391] = 7, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(3234), 1, + sym_basic_identifier, + ACTIONS(3236), 1, + sym_extended_identifier, + ACTIONS(8135), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1386), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + [222416] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5025), 1, + anon_sym_DOT, + ACTIONS(5027), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2801), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2807), 1, + sym__predefined_attribute_designator, + STATE(2811), 1, + sym__attribute_designator, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222445] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5025), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222474] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8025), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(6879), 6, + anon_sym_COMMA, + anon_sym_LBRACK, + aux_sym_PSL_Union_Expression_token1, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [222491] = 4, + ACTIONS(8137), 1, + anon_sym_AT, + ACTIONS(8139), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6887), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [222510] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222539] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4715), 1, + anon_sym_DOT, + ACTIONS(5027), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2801), 1, + sym__predefined_attribute_designator_with_expression, + STATE(2807), 1, + sym__predefined_attribute_designator, + STATE(2811), 1, + sym__attribute_designator, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222568] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8141), 1, + anon_sym_SEMI, + STATE(5070), 1, + sym_signature, + STATE(5209), 1, + sym__attribute_designator, + STATE(5234), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5246), 1, + sym__predefined_attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222597] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8143), 1, + anon_sym_SEMI, + STATE(5062), 1, + sym_signature, + STATE(5242), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5244), 1, + sym__predefined_attribute_designator, + STATE(5245), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222626] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8145), 1, + anon_sym_SEMI, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5059), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222655] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8147), 1, + anon_sym_SEMI, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(4956), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222684] = 6, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(8149), 1, + aux_sym_entity_declaration_token4, + STATE(6851), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3335), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [222707] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8151), 1, + anon_sym_SEMI, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5155), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222736] = 6, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(8153), 1, + aux_sym_entity_declaration_token4, + STATE(6854), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3335), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [222759] = 7, + ACTIONS(8155), 1, + anon_sym_AMP, + ACTIONS(8157), 1, + anon_sym_AMP_AMP, + ACTIONS(8159), 1, + aux_sym_PSL_Compound_SERE_Within_token1, + STATE(4661), 1, + aux_sym_PSL_Compound_SERE_And_repeat1, + STATE(4663), 1, + aux_sym_PSL_Compound_SERE_Within_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8027), 3, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [222784] = 7, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4840), 1, + sym_extended_identifier, + ACTIONS(4846), 1, + sym_basic_identifier, + ACTIONS(8135), 1, + aux_sym_range_constraint_token1, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + [222809] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5019), 1, + anon_sym_DOT, + ACTIONS(5021), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2073), 1, + sym__attribute_designator, + STATE(2074), 1, + sym__predefined_attribute_designator, + STATE(2077), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222838] = 6, + ACTIONS(1016), 1, + aux_sym_generic_clause_token1, + ACTIONS(1018), 1, + aux_sym_port_clause_token1, + ACTIONS(8161), 1, + aux_sym_entity_declaration_token4, + STATE(7024), 1, + sym__header, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(3335), 4, + sym_generic_clause, + sym_port_clause, + sym_generic_map_aspect, + sym_port_map_aspect, + [222861] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222890] = 3, + ACTIONS(8163), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1936), 7, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + [222907] = 3, + ACTIONS(8165), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4881), 7, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_DOT, + anon_sym_SQUOTE, + [222924] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8167), 1, + anon_sym_SEMI, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5154), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222953] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8169), 1, + anon_sym_SEMI, + STATE(5153), 1, + sym_signature, + STATE(5242), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5244), 1, + sym__predefined_attribute_designator, + STATE(5245), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [222982] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5169), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3676), 1, + sym__attribute_designator, + STATE(3677), 1, + sym__predefined_attribute_designator, + STATE(3679), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223011] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5585), 1, + anon_sym_DOT, + ACTIONS(5587), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3216), 1, + sym__attribute_designator, + STATE(3219), 1, + sym__predefined_attribute_designator, + STATE(3221), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223040] = 9, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(621), 1, + sym__attribute_designator, + STATE(626), 1, + sym__predefined_attribute_designator, + STATE(638), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223069] = 7, + ACTIONS(2047), 1, + anon_sym_LPAREN, + ACTIONS(4840), 1, + aux_sym_entity_declaration_token2, + ACTIONS(7596), 1, + aux_sym_range_constraint_token1, + ACTIONS(8133), 1, + anon_sym_SQUOTE, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(1300), 3, + sym_range_constraint, + sym_array_constraint, + sym_record_constraint, + [223094] = 3, + ACTIONS(8171), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6798), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [223110] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(7391), 1, + anon_sym_LPAREN, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + STATE(3685), 1, + sym__attribute_designator, + STATE(3686), 1, + sym__predefined_attribute_designator, + STATE(3687), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5252), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223136] = 5, + ACTIONS(767), 1, + anon_sym_LBRACK, + STATE(4655), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8025), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(8027), 3, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [223156] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5025), 1, + anon_sym_DOT, + ACTIONS(5027), 1, + anon_sym_SQUOTE, + STATE(2830), 1, + sym__attribute_designator, + STATE(2844), 1, + sym__predefined_attribute_designator, + STATE(2845), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223182] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + STATE(3664), 1, + sym__attribute_designator, + STATE(3680), 1, + sym__predefined_attribute_designator, + STATE(3681), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223208] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2129), 1, + anon_sym_DOT, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223234] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5019), 1, + anon_sym_DOT, + ACTIONS(5021), 1, + anon_sym_SQUOTE, + STATE(1983), 1, + sym__attribute_designator, + STATE(2019), 1, + sym__predefined_attribute_designator, + STATE(2023), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223260] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(4903), 1, + anon_sym_DOT, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223286] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5585), 1, + anon_sym_DOT, + ACTIONS(5587), 1, + anon_sym_SQUOTE, + STATE(3225), 1, + sym__attribute_designator, + STATE(3226), 1, + sym__predefined_attribute_designator, + STATE(3227), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223312] = 7, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(8173), 1, + anon_sym_LPAREN, + ACTIONS(8175), 1, + anon_sym_BANG2, + STATE(4142), 1, + sym__PSL_Extended_Ocurrence_argument, + STATE(7082), 1, + sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7083), 2, + sym_PSL_Count, + sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification, + [223336] = 5, + ACTIONS(8177), 1, + anon_sym_LBRACK, + ACTIONS(8179), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8184), 2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + ACTIONS(8181), 3, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [223356] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5027), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2858), 1, + sym__attribute_designator, + STATE(2860), 1, + sym__predefined_attribute_designator, + STATE(2861), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223382] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5585), 1, + anon_sym_DOT, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223408] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4625), 1, + anon_sym_DOT, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + STATE(2007), 1, + sym__attribute_designator, + STATE(2008), 1, + sym__predefined_attribute_designator, + STATE(2009), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223434] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5025), 1, + anon_sym_DOT, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223460] = 3, + ACTIONS(8186), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6782), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [223476] = 3, + ACTIONS(8188), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6780), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [223492] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6632), 1, + anon_sym_DOT, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223518] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5587), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3228), 1, + sym__attribute_designator, + STATE(3235), 1, + sym__predefined_attribute_designator, + STATE(3239), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223544] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(6734), 1, + anon_sym_DOT, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223570] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2010), 1, + sym__attribute_designator, + STATE(2011), 1, + sym__predefined_attribute_designator, + STATE(2012), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223596] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(5169), 1, + anon_sym_DOT, + STATE(2007), 1, + sym__attribute_designator, + STATE(2008), 1, + sym__predefined_attribute_designator, + STATE(2009), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223622] = 8, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(8190), 1, + sym_basic_identifier, + ACTIONS(8192), 1, + anon_sym_SEMI, + STATE(5540), 1, + sym__loop_label, + STATE(5869), 1, + sym__simple_name, + STATE(6963), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223648] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4975), 1, + anon_sym_DOT, + ACTIONS(5293), 1, + anon_sym_SQUOTE, + STATE(1983), 1, + sym__attribute_designator, + STATE(2019), 1, + sym__predefined_attribute_designator, + STATE(2023), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223674] = 8, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(8190), 1, + sym_basic_identifier, + ACTIONS(8194), 1, + anon_sym_SEMI, + STATE(5541), 1, + sym__loop_label, + STATE(5869), 1, + sym__simple_name, + STATE(6961), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223700] = 8, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(8190), 1, + sym_basic_identifier, + ACTIONS(8196), 1, + anon_sym_SEMI, + STATE(5440), 1, + sym__loop_label, + STATE(5869), 1, + sym__simple_name, + STATE(7176), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223726] = 8, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(8190), 1, + sym_basic_identifier, + ACTIONS(8198), 1, + anon_sym_SEMI, + STATE(5438), 1, + sym__loop_label, + STATE(5869), 1, + sym__simple_name, + STATE(7229), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223752] = 6, + ACTIONS(8202), 1, + anon_sym_LPAREN, + ACTIONS(8204), 1, + anon_sym_COMMA, + ACTIONS(8206), 1, + anon_sym_COLON, + STATE(5462), 1, + aux_sym_instantiation_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8200), 3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym_binding_indication_token1, + [223774] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5291), 1, + anon_sym_DOT, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223800] = 4, + ACTIONS(8208), 1, + anon_sym_COMMA, + STATE(4647), 1, + aux_sym_signal_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7389), 5, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COLON, + aux_sym__condition_clause_token1, + [223818] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4903), 1, + anon_sym_DOT, + STATE(2007), 1, + sym__attribute_designator, + STATE(2008), 1, + sym__predefined_attribute_designator, + STATE(2009), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223844] = 3, + ACTIONS(8213), 1, + aux_sym_string_literal_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8211), 6, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LT_LT, + sym_basic_identifier, + sym_extended_identifier, + aux_sym_string_literal_token1, + [223860] = 3, + ACTIONS(8217), 1, + aux_sym_string_literal_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8215), 6, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LT_LT, + sym_basic_identifier, + sym_extended_identifier, + aux_sym_string_literal_token1, + [223876] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223902] = 8, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(7281), 1, + sym_basic_identifier, + STATE(4837), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5502), 1, + sym__simple_name, + STATE(6835), 1, + sym__partial_pathname, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223928] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(3685), 1, + sym__attribute_designator, + STATE(3686), 1, + sym__predefined_attribute_designator, + STATE(3687), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223954] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(4644), 1, + anon_sym_DOT, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [223980] = 3, + ACTIONS(8219), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6859), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [223996] = 5, + ACTIONS(8221), 1, + anon_sym_AMP, + ACTIONS(8226), 1, + anon_sym_AMP_AMP, + STATE(4656), 1, + aux_sym_PSL_Compound_SERE_And_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8224), 4, + anon_sym_COLON2, + anon_sym_SEMI2, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [224016] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2194), 1, + anon_sym_DOT, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224042] = 5, + ACTIONS(8229), 1, + anon_sym_AMP, + ACTIONS(8233), 1, + aux_sym_PSL_Compound_SERE_Within_token1, + STATE(4658), 1, + aux_sym_PSL_Compound_SERE_Within_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8231), 4, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + anon_sym_RBRACE, + [224062] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(2109), 1, + anon_sym_DOT, + STATE(616), 1, + sym__predefined_attribute_designator_with_expression, + STATE(622), 1, + sym__predefined_attribute_designator, + STATE(639), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224088] = 3, + ACTIONS(8236), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6857), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [224104] = 5, + ACTIONS(8155), 1, + anon_sym_AMP, + ACTIONS(8157), 1, + anon_sym_AMP_AMP, + STATE(4656), 1, + aux_sym_PSL_Compound_SERE_And_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8238), 4, + anon_sym_COLON2, + anon_sym_SEMI2, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [224124] = 8, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(8190), 1, + sym_basic_identifier, + ACTIONS(8240), 1, + anon_sym_SEMI, + STATE(5431), 1, + sym__loop_label, + STATE(5869), 1, + sym__simple_name, + STATE(7237), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224150] = 5, + ACTIONS(8159), 1, + aux_sym_PSL_Compound_SERE_Within_token1, + ACTIONS(8242), 1, + anon_sym_AMP, + STATE(4658), 1, + aux_sym_PSL_Compound_SERE_Within_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8244), 4, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + anon_sym_RBRACE, + [224170] = 6, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5256), 1, + sym_signal_kind, + STATE(5920), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8246), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + ACTIONS(8248), 2, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + [224192] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5213), 1, + anon_sym_DOT, + ACTIONS(5587), 1, + anon_sym_SQUOTE, + STATE(3225), 1, + sym__attribute_designator, + STATE(3226), 1, + sym__predefined_attribute_designator, + STATE(3227), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5275), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224218] = 8, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(8190), 1, + sym_basic_identifier, + ACTIONS(8252), 1, + anon_sym_SEMI, + STATE(5429), 1, + sym__loop_label, + STATE(5869), 1, + sym__simple_name, + STATE(7239), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224244] = 6, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5199), 1, + sym_signal_kind, + STATE(5812), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8248), 2, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + ACTIONS(8254), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [224266] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5019), 1, + anon_sym_DOT, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224292] = 3, + ACTIONS(8256), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6855), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [224308] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4654), 1, + anon_sym_DOT, + ACTIONS(5021), 1, + anon_sym_SQUOTE, + STATE(1983), 1, + sym__attribute_designator, + STATE(2019), 1, + sym__predefined_attribute_designator, + STATE(2023), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224334] = 4, + ACTIONS(8177), 1, + anon_sym_LBRACK, + ACTIONS(8179), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8184), 5, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [224352] = 3, + ACTIONS(8258), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6802), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [224368] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(592), 1, + sym__predefined_attribute_designator_with_expression, + STATE(609), 1, + sym__predefined_attribute_designator, + STATE(612), 1, + sym__attribute_designator, + STATE(5304), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224394] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(5169), 1, + anon_sym_DOT, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224420] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5291), 1, + anon_sym_DOT, + ACTIONS(5293), 1, + anon_sym_SQUOTE, + STATE(1983), 1, + sym__attribute_designator, + STATE(2019), 1, + sym__predefined_attribute_designator, + STATE(2023), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224446] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5293), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2037), 1, + sym__attribute_designator, + STATE(2038), 1, + sym__predefined_attribute_designator, + STATE(2043), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5279), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224472] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4715), 1, + anon_sym_DOT, + ACTIONS(5027), 1, + anon_sym_SQUOTE, + STATE(2830), 1, + sym__attribute_designator, + STATE(2844), 1, + sym__predefined_attribute_designator, + STATE(2845), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5306), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224498] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(5021), 1, + anon_sym_SQUOTE, + ACTIONS(7391), 1, + anon_sym_LPAREN, + STATE(2037), 1, + sym__attribute_designator, + STATE(2038), 1, + sym__predefined_attribute_designator, + STATE(2043), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5277), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224524] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + STATE(3682), 1, + sym__attribute_designator, + STATE(3683), 1, + sym__predefined_attribute_designator, + STATE(3684), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5285), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224550] = 8, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(8190), 1, + sym_basic_identifier, + ACTIONS(8260), 1, + anon_sym_SEMI, + STATE(5371), 1, + sym__loop_label, + STATE(5869), 1, + sym__simple_name, + STATE(7322), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224576] = 3, + ACTIONS(8262), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6774), 6, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [224592] = 3, + ACTIONS(8266), 1, + aux_sym_string_literal_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8264), 6, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LT_LT, + sym_basic_identifier, + sym_extended_identifier, + aux_sym_string_literal_token1, + [224608] = 8, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(8190), 1, + sym_basic_identifier, + ACTIONS(8268), 1, + anon_sym_SEMI, + STATE(5369), 1, + sym__loop_label, + STATE(5869), 1, + sym__simple_name, + STATE(7323), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224634] = 8, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + ACTIONS(4802), 1, + anon_sym_DOT, + STATE(2007), 1, + sym__attribute_designator, + STATE(2008), 1, + sym__predefined_attribute_designator, + STATE(2009), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5194), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224660] = 6, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5328), 1, + sym_signal_kind, + STATE(5882), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8248), 2, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + ACTIONS(8270), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [224682] = 3, + ACTIONS(8276), 1, + aux_sym_string_literal_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8274), 5, + anon_sym_LPAREN, + anon_sym_LT_LT, + sym_basic_identifier, + sym_extended_identifier, + aux_sym_string_literal_token1, + [224697] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8278), 1, + anon_sym_SEMI, + ACTIONS(8280), 1, + aux_sym_for_generate_statement_token1, + STATE(6642), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224720] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8282), 1, + anon_sym_SEMI, + ACTIONS(8284), 1, + aux_sym_package_declaration_token1, + STATE(6803), 1, + sym__simple_name, + STATE(7000), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224743] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8286), 1, + anon_sym_SEMI, + ACTIONS(8288), 1, + anon_sym_QMARK, + STATE(6593), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224766] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8290), 6, + aux_sym_entity_declaration_token4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + aux_sym_binding_indication_token1, + [224779] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8292), 1, + anon_sym_SEMI, + ACTIONS(8294), 1, + aux_sym_context_declaration_token1, + STATE(6231), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224802] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8296), 1, + anon_sym_SEMI, + ACTIONS(8298), 1, + aux_sym_context_declaration_token1, + STATE(6233), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224825] = 5, + ACTIONS(8300), 1, + anon_sym_SEMI, + STATE(6813), 1, + sym_force_mode, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1950), 2, + aux_sym__in_token1, + aux_sym__out_token1, + STATE(3654), 2, + sym__in, + sym__out, + [224844] = 6, + ACTIONS(8302), 1, + aux_sym_entity_declaration_token4, + ACTIONS(8304), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8306), 1, + aux_sym_elsif_token1, + STATE(6953), 1, + sym_else, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4704), 2, + sym_elsif, + aux_sym_if_statement_repeat1, + [224865] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8308), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8310), 1, + anon_sym_SEMI, + STATE(6650), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224888] = 6, + ACTIONS(8312), 1, + aux_sym_entity_declaration_token4, + ACTIONS(8314), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8316), 1, + aux_sym_elsif_token1, + STATE(6956), 1, + sym_else_generate, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4706), 2, + sym_elsif_generate, + aux_sym_if_generate_statement_repeat1, + [224909] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8318), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8320), 1, + anon_sym_SEMI, + STATE(6653), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224932] = 6, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(8322), 1, + anon_sym_RPAREN, + STATE(5459), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [224953] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8324), 1, + sym_basic_identifier, + ACTIONS(8326), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4041), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [224976] = 6, + ACTIONS(8314), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8316), 1, + aux_sym_elsif_token1, + ACTIONS(8328), 1, + aux_sym_entity_declaration_token4, + STATE(6655), 1, + sym_else_generate, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5171), 2, + sym_elsif_generate, + aux_sym_if_generate_statement_repeat1, + [224997] = 6, + ACTIONS(8304), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8306), 1, + aux_sym_elsif_token1, + ACTIONS(8330), 1, + aux_sym_entity_declaration_token4, + STATE(6658), 1, + sym_else, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5146), 2, + sym_elsif, + aux_sym_if_statement_repeat1, + [225018] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8332), 1, + anon_sym_SEMI, + ACTIONS(8334), 1, + aux_sym_package_declaration_token1, + STATE(6803), 1, + sym__simple_name, + STATE(6986), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225041] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8336), 1, + anon_sym_SEMI, + ACTIONS(8338), 1, + aux_sym_package_declaration_token1, + STATE(6139), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225064] = 6, + ACTIONS(8304), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8306), 1, + aux_sym_elsif_token1, + ACTIONS(8340), 1, + aux_sym_entity_declaration_token4, + STATE(7006), 1, + sym_else, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5146), 2, + sym_elsif, + aux_sym_if_statement_repeat1, + [225085] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8342), 1, + anon_sym_SEMI, + ACTIONS(8344), 1, + aux_sym_package_declaration_token1, + STATE(6803), 1, + sym__simple_name, + STATE(6960), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225108] = 6, + ACTIONS(8314), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8316), 1, + aux_sym_elsif_token1, + ACTIONS(8346), 1, + aux_sym_entity_declaration_token4, + STATE(7008), 1, + sym_else_generate, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5171), 2, + sym_elsif_generate, + aux_sym_if_generate_statement_repeat1, + [225129] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8348), 1, + sym_basic_identifier, + ACTIONS(8350), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4048), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225152] = 6, + ACTIONS(8304), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8306), 1, + aux_sym_elsif_token1, + ACTIONS(8340), 1, + aux_sym_entity_declaration_token4, + STATE(7006), 1, + sym_else, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4760), 2, + sym_elsif, + aux_sym_if_statement_repeat1, + [225173] = 6, + ACTIONS(8314), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8316), 1, + aux_sym_elsif_token1, + ACTIONS(8346), 1, + aux_sym_entity_declaration_token4, + STATE(7008), 1, + sym_else_generate, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4761), 2, + sym_elsif_generate, + aux_sym_if_generate_statement_repeat1, + [225194] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8352), 1, + anon_sym_SEMI, + ACTIONS(8354), 1, + aux_sym_package_declaration_token1, + STATE(6803), 1, + sym__simple_name, + STATE(6957), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225217] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8356), 1, + sym_basic_identifier, + ACTIONS(8358), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4053), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225240] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8360), 1, + sym_basic_identifier, + ACTIONS(8362), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4067), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225263] = 3, + ACTIONS(8368), 1, + aux_sym_string_literal_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8364), 5, + anon_sym_LPAREN, + anon_sym_LT_LT, + sym_basic_identifier, + sym_extended_identifier, + aux_sym_string_literal_token1, + [225278] = 3, + ACTIONS(8374), 1, + aux_sym_string_literal_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8372), 5, + anon_sym_LPAREN, + anon_sym_LT_LT, + sym_basic_identifier, + sym_extended_identifier, + aux_sym_string_literal_token1, + [225293] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8376), 1, + anon_sym_SEMI, + ACTIONS(8378), 1, + aux_sym_package_declaration_token1, + STATE(6739), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225316] = 3, + ACTIONS(8384), 1, + aux_sym_string_literal_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8380), 5, + anon_sym_LPAREN, + anon_sym_LT_LT, + sym_basic_identifier, + sym_extended_identifier, + aux_sym_string_literal_token1, + [225331] = 3, + ACTIONS(8390), 1, + aux_sym_string_literal_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8388), 5, + anon_sym_LPAREN, + anon_sym_LT_LT, + sym_basic_identifier, + sym_extended_identifier, + aux_sym_string_literal_token1, + [225346] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8392), 1, + anon_sym_SEMI, + ACTIONS(8394), 1, + anon_sym_QMARK, + STATE(6247), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225369] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8396), 1, + sym_basic_identifier, + ACTIONS(8398), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4069), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225392] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8400), 6, + anon_sym_SEMI, + anon_sym_COMMA, + anon_sym_COLON, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_SQUOTE, + [225405] = 3, + ACTIONS(8406), 1, + aux_sym_string_literal_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8402), 5, + anon_sym_LPAREN, + anon_sym_LT_LT, + sym_basic_identifier, + sym_extended_identifier, + aux_sym_string_literal_token1, + [225420] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8410), 1, + sym_basic_identifier, + ACTIONS(8412), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4066), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225443] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8414), 1, + anon_sym_SEMI, + ACTIONS(8416), 1, + anon_sym_QMARK, + STATE(6274), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225466] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8418), 1, + anon_sym_SEMI, + ACTIONS(8420), 1, + aux_sym_configuration_declaration_token1, + STATE(6784), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225489] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8422), 1, + anon_sym_SEMI, + ACTIONS(8424), 1, + aux_sym_package_declaration_token1, + STATE(6803), 1, + sym__simple_name, + STATE(7012), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225512] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8278), 1, + anon_sym_SEMI, + ACTIONS(8426), 1, + aux_sym_for_generate_statement_token1, + STATE(6642), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225535] = 3, + ACTIONS(8428), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8430), 5, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_AMP_AMP, + aux_sym_PSL_Compound_SERE_Within_token1, + anon_sym_RBRACE, + [225550] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8432), 1, + anon_sym_SEMI, + ACTIONS(8434), 1, + aux_sym_package_declaration_token1, + STATE(6735), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225573] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8436), 1, + anon_sym_SEMI, + ACTIONS(8438), 1, + anon_sym_QMARK, + STATE(6803), 1, + sym__simple_name, + STATE(6898), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225596] = 6, + ACTIONS(8440), 1, + sym_basic_identifier, + ACTIONS(8443), 1, + aux_sym_entity_declaration_token4, + ACTIONS(8445), 1, + sym_extended_identifier, + STATE(6576), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4730), 2, + sym_element_declaration, + aux_sym_record_type_definition_repeat1, + [225617] = 5, + ACTIONS(8448), 1, + anon_sym_SEMI, + STATE(6718), 1, + sym_force_mode, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1950), 2, + aux_sym__in_token1, + aux_sym__out_token1, + STATE(3654), 2, + sym__in, + sym__out, + [225636] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8278), 1, + anon_sym_SEMI, + ACTIONS(8450), 1, + aux_sym_for_generate_statement_token1, + STATE(6642), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225659] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8452), 1, + anon_sym_SEMI, + ACTIONS(8454), 1, + aux_sym_architecture_body_token1, + STATE(6714), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225682] = 6, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(7217), 1, + aux_sym_block_configuration_token1, + ACTIONS(8456), 1, + aux_sym_entity_declaration_token4, + STATE(5993), 1, + sym_block_configuration, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4977), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [225703] = 3, + ACTIONS(8460), 1, + aux_sym_string_literal_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8458), 5, + anon_sym_LPAREN, + anon_sym_LT_LT, + sym_basic_identifier, + sym_extended_identifier, + aux_sym_string_literal_token1, + [225718] = 3, + ACTIONS(8464), 1, + aux_sym_string_literal_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8462), 5, + anon_sym_LPAREN, + anon_sym_LT_LT, + sym_basic_identifier, + sym_extended_identifier, + aux_sym_string_literal_token1, + [225733] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8466), 1, + sym_basic_identifier, + ACTIONS(8468), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4039), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225756] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5530), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8470), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [225775] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8472), 1, + anon_sym_SEMI, + ACTIONS(8474), 1, + aux_sym_package_declaration_token1, + STATE(6717), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225798] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8476), 1, + anon_sym_SEMI, + ACTIONS(8478), 1, + aux_sym_configuration_declaration_token1, + STATE(6803), 1, + sym__simple_name, + STATE(6938), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225821] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8480), 1, + sym_basic_identifier, + ACTIONS(8482), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(4059), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225844] = 7, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(8484), 1, + sym_basic_identifier, + ACTIONS(8486), 1, + sym_extended_identifier, + STATE(5707), 1, + sym_character_literal, + STATE(5883), 1, + sym__enumeration_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225867] = 5, + ACTIONS(8488), 1, + anon_sym_SEMI, + STATE(6958), 1, + sym_force_mode, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1950), 2, + aux_sym__in_token1, + aux_sym__out_token1, + STATE(3654), 2, + sym__in, + sym__out, + [225886] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8490), 1, + anon_sym_SEMI, + ACTIONS(8492), 1, + aux_sym_configuration_declaration_token1, + STATE(5994), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225909] = 5, + ACTIONS(8494), 1, + anon_sym_SEMI, + STATE(6188), 1, + sym_force_mode, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1950), 2, + aux_sym__in_token1, + aux_sym__out_token1, + STATE(3654), 2, + sym__in, + sym__out, + [225928] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8496), 1, + anon_sym_SEMI, + ACTIONS(8498), 1, + aux_sym_package_declaration_token1, + STATE(6136), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225951] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(8500), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + [225966] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8502), 1, + anon_sym_SEMI, + ACTIONS(8504), 1, + anon_sym_QMARK, + STATE(6336), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [225989] = 6, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(7217), 1, + aux_sym_block_configuration_token1, + ACTIONS(8506), 1, + aux_sym_entity_declaration_token4, + STATE(5996), 1, + sym_block_configuration, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4977), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [226010] = 3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(8508), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + [226025] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8510), 1, + anon_sym_SEMI, + ACTIONS(8512), 1, + aux_sym_architecture_body_token1, + STATE(6711), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226048] = 6, + ACTIONS(8314), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8316), 1, + aux_sym_elsif_token1, + ACTIONS(8514), 1, + aux_sym_entity_declaration_token4, + STATE(7196), 1, + sym_else_generate, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4779), 2, + sym_elsif_generate, + aux_sym_if_generate_statement_repeat1, + [226069] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8516), 6, + anon_sym_SEMI, + anon_sym_COMMA, + anon_sym_COLON, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_SQUOTE, + [226082] = 6, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(8518), 1, + aux_sym_entity_declaration_token4, + STATE(6576), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4730), 2, + sym_element_declaration, + aux_sym_record_type_definition_repeat1, + [226103] = 6, + ACTIONS(8304), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8306), 1, + aux_sym_elsif_token1, + ACTIONS(8520), 1, + aux_sym_entity_declaration_token4, + STATE(7202), 1, + sym_else, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4777), 2, + sym_elsif, + aux_sym_if_statement_repeat1, + [226124] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8522), 1, + anon_sym_SEMI, + ACTIONS(8524), 1, + aux_sym_package_declaration_token1, + STATE(6360), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226147] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8526), 1, + anon_sym_SEMI, + ACTIONS(8528), 1, + aux_sym_package_declaration_token1, + STATE(6361), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226170] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8530), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8532), 1, + anon_sym_SEMI, + STATE(6183), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226193] = 5, + ACTIONS(8534), 1, + anon_sym_SEMI, + STATE(7148), 1, + sym_force_mode, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1950), 2, + aux_sym__in_token1, + aux_sym__out_token1, + STATE(3654), 2, + sym__in, + sym__out, + [226212] = 6, + ACTIONS(8304), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8306), 1, + aux_sym_elsif_token1, + ACTIONS(8536), 1, + aux_sym_entity_declaration_token4, + STATE(7044), 1, + sym_else, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5146), 2, + sym_elsif, + aux_sym_if_statement_repeat1, + [226233] = 6, + ACTIONS(8314), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8316), 1, + aux_sym_elsif_token1, + ACTIONS(8538), 1, + aux_sym_entity_declaration_token4, + STATE(7046), 1, + sym_else_generate, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5171), 2, + sym_elsif_generate, + aux_sym_if_generate_statement_repeat1, + [226254] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8540), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8542), 1, + anon_sym_SEMI, + STATE(6182), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226277] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8544), 1, + anon_sym_SEMI, + ACTIONS(8546), 1, + aux_sym_configuration_declaration_token1, + STATE(6315), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226300] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5682), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8548), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [226319] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8550), 1, + anon_sym_SEMI, + ACTIONS(8552), 1, + aux_sym_configuration_declaration_token1, + STATE(5997), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226342] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8554), 1, + anon_sym_SEMI, + ACTIONS(8556), 1, + aux_sym_package_declaration_token1, + STATE(6365), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226365] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8558), 1, + anon_sym_SEMI, + ACTIONS(8560), 1, + aux_sym_configuration_declaration_token1, + STATE(6305), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226388] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8562), 1, + anon_sym_SEMI, + ACTIONS(8564), 1, + aux_sym_package_declaration_token1, + STATE(6366), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226411] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5684), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8566), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [226430] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5686), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8568), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [226449] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8570), 1, + anon_sym_SEMI, + ACTIONS(8572), 1, + aux_sym_package_declaration_token1, + STATE(6369), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226472] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8574), 1, + anon_sym_SEMI, + ACTIONS(8576), 1, + aux_sym_architecture_body_token1, + STATE(6193), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226495] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8578), 1, + anon_sym_SEMI, + ACTIONS(8580), 1, + aux_sym_architecture_body_token1, + STATE(6228), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226518] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8582), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8584), 1, + anon_sym_SEMI, + STATE(6225), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226541] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8586), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8588), 1, + anon_sym_SEMI, + STATE(6208), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226564] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8590), 1, + sym_basic_identifier, + ACTIONS(8592), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3957), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226587] = 6, + ACTIONS(8304), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8306), 1, + aux_sym_elsif_token1, + ACTIONS(8594), 1, + aux_sym_entity_declaration_token4, + STATE(6981), 1, + sym_else, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5146), 2, + sym_elsif, + aux_sym_if_statement_repeat1, + [226608] = 5, + ACTIONS(8596), 1, + anon_sym_SEMI, + STATE(7311), 1, + sym_force_mode, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1950), 2, + aux_sym__in_token1, + aux_sym__out_token1, + STATE(3654), 2, + sym__in, + sym__out, + [226627] = 6, + ACTIONS(8314), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8316), 1, + aux_sym_elsif_token1, + ACTIONS(8598), 1, + aux_sym_entity_declaration_token4, + STATE(6959), 1, + sym_else_generate, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5171), 2, + sym_elsif_generate, + aux_sym_if_generate_statement_repeat1, + [226648] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8600), 1, + sym_basic_identifier, + ACTIONS(8602), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3954), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226671] = 6, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + ACTIONS(8604), 1, + anon_sym_SEMI, + STATE(5430), 1, + sym_signal_kind, + STATE(7238), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8248), 2, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + [226692] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8606), 1, + sym_basic_identifier, + ACTIONS(8608), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3944), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226715] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8610), 1, + anon_sym_SEMI, + ACTIONS(8612), 1, + aux_sym_package_declaration_token1, + STATE(6803), 1, + sym__simple_name, + STATE(7248), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226738] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8614), 1, + anon_sym_SEMI, + ACTIONS(8616), 1, + anon_sym_QMARK, + STATE(6098), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226761] = 7, + ACTIONS(105), 1, + aux_sym_string_literal_token1, + ACTIONS(107), 1, + aux_sym_string_literal_token2, + ACTIONS(8618), 1, + sym_basic_identifier, + ACTIONS(8620), 1, + sym_extended_identifier, + STATE(3646), 1, + sym_string_literal, + STATE(3933), 1, + sym__operator_symbol, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226784] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5534), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8622), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [226803] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5538), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8624), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [226822] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5542), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8626), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [226841] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8628), 1, + anon_sym_SEMI, + ACTIONS(8630), 1, + aux_sym_package_declaration_token1, + STATE(6803), 1, + sym__simple_name, + STATE(7254), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226864] = 6, + ACTIONS(8304), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8306), 1, + aux_sym_elsif_token1, + ACTIONS(8594), 1, + aux_sym_entity_declaration_token4, + STATE(6981), 1, + sym_else, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4701), 2, + sym_elsif, + aux_sym_if_statement_repeat1, + [226885] = 6, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(7217), 1, + aux_sym_block_configuration_token1, + ACTIONS(8632), 1, + aux_sym_entity_declaration_token4, + STATE(7054), 1, + sym_block_configuration, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4977), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [226906] = 6, + ACTIONS(8314), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(8316), 1, + aux_sym_elsif_token1, + ACTIONS(8598), 1, + aux_sym_entity_declaration_token4, + STATE(6959), 1, + sym_else_generate, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4700), 2, + sym_elsif_generate, + aux_sym_if_generate_statement_repeat1, + [226927] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8634), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8636), 1, + anon_sym_SEMI, + STATE(6418), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226950] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8638), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8640), 1, + anon_sym_SEMI, + STATE(6422), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226973] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8642), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8644), 1, + anon_sym_SEMI, + STATE(6423), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [226996] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8646), 1, + anon_sym_SEMI, + ACTIONS(8648), 1, + aux_sym_architecture_body_token1, + STATE(6001), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227019] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8650), 1, + anon_sym_SEMI, + ACTIONS(8652), 1, + anon_sym_QMARK, + STATE(6629), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227042] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8654), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8656), 1, + anon_sym_SEMI, + STATE(6427), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227065] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5670), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8658), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [227084] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8660), 1, + anon_sym_SEMI, + ACTIONS(8662), 1, + aux_sym_architecture_body_token1, + STATE(6003), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227107] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5666), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8664), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [227126] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8278), 1, + anon_sym_SEMI, + ACTIONS(8666), 1, + aux_sym_for_generate_statement_token1, + STATE(6642), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227149] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5659), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8668), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [227168] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5658), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8670), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [227187] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8672), 1, + anon_sym_SEMI, + ACTIONS(8674), 1, + aux_sym_package_declaration_token1, + STATE(6585), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227210] = 5, + ACTIONS(8676), 1, + anon_sym_SEMI, + STATE(6434), 1, + sym_force_mode, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1950), 2, + aux_sym__in_token1, + aux_sym__out_token1, + STATE(3654), 2, + sym__in, + sym__out, + [227229] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8678), 1, + anon_sym_SEMI, + ACTIONS(8680), 1, + aux_sym_package_declaration_token1, + STATE(6583), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227252] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8682), 1, + anon_sym_SEMI, + ACTIONS(8684), 1, + anon_sym_QMARK, + STATE(6620), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227275] = 7, + ACTIONS(101), 1, + aux_sym_character_literal_token1, + ACTIONS(103), 1, + aux_sym_character_literal_token2, + ACTIONS(8484), 1, + sym_basic_identifier, + ACTIONS(8486), 1, + sym_extended_identifier, + STATE(5657), 1, + sym__enumeration_literal, + STATE(5707), 1, + sym_character_literal, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227298] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8686), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8688), 1, + anon_sym_SEMI, + STATE(6004), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227321] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8690), 1, + anon_sym_SEMI, + ACTIONS(8692), 1, + anon_sym_QMARK, + STATE(6439), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227344] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5579), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8694), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [227363] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5581), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8696), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [227382] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8698), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8700), 1, + anon_sym_SEMI, + STATE(6176), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227405] = 6, + ACTIONS(6640), 1, + sym_basic_identifier, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(8702), 1, + aux_sym_entity_declaration_token4, + STATE(6576), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4754), 2, + sym_element_declaration, + aux_sym_record_type_definition_repeat1, + [227426] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8704), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8706), 1, + anon_sym_SEMI, + STATE(6175), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227449] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5585), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8708), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [227468] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8710), 6, + anon_sym_SEMI, + anon_sym_COMMA, + anon_sym_COLON, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_SQUOTE, + [227481] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5587), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8712), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [227500] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8714), 1, + anon_sym_SEMI, + ACTIONS(8716), 1, + aux_sym_configuration_declaration_token1, + STATE(6169), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227523] = 6, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(8173), 1, + anon_sym_LPAREN, + STATE(4172), 1, + sym__PSL_Extended_Ocurrence_argument, + STATE(7082), 1, + sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(7083), 2, + sym_PSL_Count, + sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification, + [227544] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8718), 1, + anon_sym_SEMI, + ACTIONS(8720), 1, + anon_sym_QMARK, + STATE(6077), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227567] = 6, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(7217), 1, + aux_sym_block_configuration_token1, + ACTIONS(8722), 1, + aux_sym_entity_declaration_token4, + STATE(6166), 1, + sym_block_configuration, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4749), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [227588] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8724), 1, + anon_sym_SEMI, + ACTIONS(8726), 1, + aux_sym_context_declaration_token1, + STATE(6476), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227611] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8728), 1, + anon_sym_SEMI, + ACTIONS(8730), 1, + aux_sym_context_declaration_token1, + STATE(6477), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227634] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8732), 1, + anon_sym_SEMI, + ACTIONS(8734), 1, + anon_sym_QMARK, + STATE(6074), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227657] = 6, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(7217), 1, + aux_sym_block_configuration_token1, + ACTIONS(8736), 1, + aux_sym_entity_declaration_token4, + STATE(6903), 1, + sym_block_configuration, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4791), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [227678] = 6, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + ACTIONS(8738), 1, + anon_sym_SEMI, + STATE(5654), 1, + sym_signal_kind, + STATE(6566), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8248), 2, + aux_sym_signal_kind_token1, + aux_sym_signal_kind_token2, + [227699] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8740), 1, + anon_sym_SEMI, + ACTIONS(8742), 1, + aux_sym_configuration_declaration_token1, + STATE(6165), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227722] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8744), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8746), 1, + anon_sym_SEMI, + STATE(6006), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227745] = 6, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(7217), 1, + aux_sym_block_configuration_token1, + ACTIONS(8748), 1, + aux_sym_entity_declaration_token4, + STATE(6164), 1, + sym_block_configuration, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4734), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [227766] = 7, + ACTIONS(8750), 1, + sym_basic_identifier, + ACTIONS(8753), 1, + sym_extended_identifier, + STATE(4832), 1, + aux_sym__partial_pathname_repeat1, + STATE(5099), 1, + sym__simple_name, + STATE(5293), 1, + sym_generate_statement_element, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227789] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8756), 1, + anon_sym_SEMI, + ACTIONS(8758), 1, + aux_sym_package_declaration_token1, + STATE(6142), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227812] = 5, + ACTIONS(8760), 1, + anon_sym_SEMI, + STATE(6446), 1, + sym_force_mode, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1950), 2, + aux_sym__in_token1, + aux_sym__out_token1, + STATE(3654), 2, + sym__in, + sym__out, + [227831] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8762), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8764), 1, + anon_sym_SEMI, + STATE(6008), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227854] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8766), 6, + anon_sym_SEMI, + anon_sym_COMMA, + anon_sym_COLON, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + anon_sym_SQUOTE, + [227867] = 7, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(8768), 1, + sym_basic_identifier, + STATE(4832), 1, + aux_sym__partial_pathname_repeat1, + STATE(5293), 1, + sym_generate_statement_element, + STATE(5605), 1, + sym__simple_name, + STATE(7103), 1, + sym_pathname_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [227890] = 4, + ACTIONS(7399), 1, + anon_sym_COMMA, + STATE(4647), 1, + aux_sym_signal_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8770), 4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + [227907] = 6, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(7217), 1, + aux_sym_block_configuration_token1, + ACTIONS(8748), 1, + aux_sym_entity_declaration_token4, + STATE(6164), 1, + sym_block_configuration, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4977), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [227928] = 5, + ACTIONS(6750), 1, + anon_sym_COMMA, + ACTIONS(6752), 1, + aux_sym_return_token1, + STATE(5679), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8772), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [227947] = 6, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(7217), 1, + aux_sym_block_configuration_token1, + ACTIONS(8722), 1, + aux_sym_entity_declaration_token4, + STATE(6166), 1, + sym_block_configuration, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4977), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [227968] = 6, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(8774), 1, + anon_sym_RPAREN, + STATE(5613), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [227989] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8776), 1, + anon_sym_SEMI, + ACTIONS(8778), 1, + anon_sym_QMARK, + STATE(5961), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228012] = 7, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7293), 1, + sym_basic_identifier, + ACTIONS(8780), 1, + aux_sym_entity_declaration_token1, + ACTIONS(8782), 1, + anon_sym_SEMI, + STATE(6010), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228035] = 6, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(7217), 1, + aux_sym_block_configuration_token1, + ACTIONS(8784), 1, + aux_sym_entity_declaration_token4, + STATE(6913), 1, + sym_block_configuration, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4977), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [228056] = 4, + ACTIONS(7399), 1, + anon_sym_COMMA, + STATE(4838), 1, + aux_sym_signal_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7397), 4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + [228073] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7389), 6, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_COLON, + aux_sym__condition_clause_token1, + [228086] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8786), 1, + anon_sym_SEMI, + STATE(5201), 1, + sym__attribute_designator, + STATE(5202), 1, + sym__predefined_attribute_designator, + STATE(5203), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228106] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8788), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [228118] = 4, + ACTIONS(767), 1, + anon_sym_LBRACK, + STATE(4655), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8027), 3, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [228134] = 6, + ACTIONS(8791), 1, + anon_sym_COLON2, + ACTIONS(8793), 1, + anon_sym_SEMI2, + ACTIONS(8795), 1, + anon_sym_RBRACE, + STATE(5286), 1, + aux_sym__PSL_Concat_SERE_repeat1, + STATE(5287), 1, + aux_sym__PSL_Fusion_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228154] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8746), 1, + anon_sym_SEMI, + STATE(6007), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228174] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8797), 1, + anon_sym_SEMI, + STATE(6095), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228194] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8799), 1, + anon_sym_SEMI, + STATE(6674), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228214] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8801), 1, + anon_sym_SEMI, + STATE(6133), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228234] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8803), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6985), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228254] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8764), 1, + anon_sym_SEMI, + STATE(6009), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228274] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8805), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6962), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228294] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8807), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6866), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228314] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8809), 1, + anon_sym_SEMI, + STATE(6513), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228334] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8811), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6889), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228354] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8782), 1, + anon_sym_SEMI, + STATE(6011), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228374] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8813), 1, + anon_sym_SEMI, + STATE(6551), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228394] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8815), 5, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [228406] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8817), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6893), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228426] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8819), 1, + anon_sym_SEMI, + STATE(6097), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228446] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8821), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6895), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228466] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8823), 1, + anon_sym_SEMI, + STATE(6012), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228486] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8825), 1, + anon_sym_SEMI, + STATE(6099), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228506] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8827), 1, + anon_sym_SEMI, + STATE(6013), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228526] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8829), 1, + anon_sym_SEMI, + STATE(6014), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228546] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8831), 1, + anon_sym_SEMI, + STATE(6022), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228566] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8833), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [228578] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8835), 1, + anon_sym_SEMI, + STATE(5970), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228598] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8837), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6943), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228618] = 6, + ACTIONS(8839), 1, + anon_sym_LPAREN, + ACTIONS(8841), 1, + anon_sym_RPAREN, + ACTIONS(8843), 1, + anon_sym_DOT, + ACTIONS(8845), 1, + anon_sym_SLASH2, + STATE(5227), 1, + aux_sym_PSL_Hierarchical_HDL_Name_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228638] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8847), 1, + anon_sym_SEMI, + STATE(6147), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228658] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8849), 1, + anon_sym_SEMI, + STATE(6044), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228678] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8851), 1, + anon_sym_SEMI, + STATE(6057), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228698] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8853), 1, + anon_sym_SEMI, + STATE(6100), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228718] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8855), 1, + anon_sym_SEMI, + STATE(6141), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228738] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8336), 1, + anon_sym_SEMI, + STATE(6140), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228758] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8857), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7178), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228778] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8859), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7177), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228798] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8861), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6942), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228818] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8863), 1, + anon_sym_SEMI, + STATE(6155), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228838] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8865), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7179), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228858] = 6, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(8867), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(7285), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228878] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8869), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6939), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228898] = 6, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(8871), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(7286), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228918] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8873), 1, + anon_sym_SEMI, + STATE(6055), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228938] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8776), 1, + anon_sym_SEMI, + STATE(5962), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228958] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8875), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7166), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228978] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8877), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6926), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [228998] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8879), 1, + anon_sym_SEMI, + STATE(5960), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229018] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8881), 1, + anon_sym_SEMI, + STATE(6664), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229038] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8883), 1, + anon_sym_SEMI, + STATE(6512), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229058] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8885), 1, + anon_sym_SEMI, + STATE(5959), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229078] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8887), 1, + anon_sym_SEMI, + STATE(6488), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229098] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8889), 1, + anon_sym_SEMI, + STATE(5958), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229118] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8891), 1, + anon_sym_SEMI, + STATE(6485), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229138] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8893), 1, + anon_sym_SEMI, + STATE(6484), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229158] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8895), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6925), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229178] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8897), 1, + anon_sym_SEMI, + STATE(6056), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229198] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8690), 1, + anon_sym_SEMI, + STATE(6319), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229218] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8899), 1, + anon_sym_SEMI, + STATE(6058), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229238] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8901), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6924), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229258] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8278), 1, + anon_sym_SEMI, + STATE(6642), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229278] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8903), 1, + anon_sym_SEMI, + STATE(6709), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229298] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8905), 1, + anon_sym_SEMI, + STATE(6157), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229318] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8907), 1, + anon_sym_SEMI, + STATE(5946), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229338] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8909), 1, + anon_sym_SEMI, + STATE(6503), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229358] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8911), 1, + anon_sym_SEMI, + STATE(6465), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229378] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8913), 1, + anon_sym_SEMI, + STATE(6461), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229398] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8915), 1, + anon_sym_SEMI, + STATE(6460), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229418] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8917), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229438] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8919), 1, + anon_sym_SEMI, + STATE(5190), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5192), 1, + sym__predefined_attribute_designator, + STATE(5193), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229458] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8921), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6894), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229478] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8923), 1, + anon_sym_SEMI, + STATE(5187), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5188), 1, + sym__predefined_attribute_designator, + STATE(5189), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229498] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8925), 1, + anon_sym_SEMI, + STATE(6493), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229518] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8927), 1, + anon_sym_SEMI, + STATE(5185), 1, + sym__predefined_attribute_designator, + STATE(5186), 1, + sym__attribute_designator, + STATE(5237), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229538] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8929), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6891), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229558] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8931), 1, + anon_sym_SEMI, + STATE(6103), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229578] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8933), 1, + anon_sym_SEMI, + STATE(5201), 1, + sym__attribute_designator, + STATE(5202), 1, + sym__predefined_attribute_designator, + STATE(5203), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229598] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8935), 1, + anon_sym_SEMI, + STATE(6070), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229618] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8937), 1, + anon_sym_SEMI, + STATE(6071), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229638] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8939), 1, + anon_sym_SEMI, + STATE(5204), 1, + sym__attribute_designator, + STATE(5210), 1, + sym__predefined_attribute_designator, + STATE(5215), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229658] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8941), 1, + anon_sym_SEMI, + STATE(6072), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229678] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8943), 1, + anon_sym_SEMI, + STATE(6618), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229698] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8945), 1, + anon_sym_SEMI, + STATE(6479), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229718] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8947), 1, + anon_sym_SEMI, + STATE(5951), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229738] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8949), 1, + anon_sym_SEMI, + STATE(5196), 1, + sym__attribute_designator, + STATE(5216), 1, + sym__predefined_attribute_designator, + STATE(5217), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229758] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8688), 1, + anon_sym_SEMI, + STATE(6005), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229778] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8951), 1, + anon_sym_SEMI, + STATE(6603), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229798] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8732), 1, + anon_sym_SEMI, + STATE(6076), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229818] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8953), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7001), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229838] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8955), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6888), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229858] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8957), 1, + anon_sym_SEMI, + STATE(6616), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229878] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8959), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6887), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229898] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8961), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6886), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229918] = 6, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(8963), 1, + anon_sym_SEMI, + STATE(6563), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229938] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8706), 1, + anon_sym_SEMI, + STATE(6174), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229958] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8965), 1, + anon_sym_SEMI, + STATE(6604), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229978] = 6, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(8967), 1, + anon_sym_COMMA, + ACTIONS(8969), 1, + anon_sym_RBRACK, + STATE(5205), 1, + aux_sym_signature_repeat1, + STATE(6454), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [229998] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8971), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7011), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230018] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8973), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7029), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230038] = 4, + ACTIONS(8977), 1, + anon_sym_COMMA, + STATE(5126), 1, + aux_sym_waveforms_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8975), 3, + anon_sym_SEMI, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [230054] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8490), 1, + anon_sym_SEMI, + STATE(5995), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230074] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8979), 1, + anon_sym_SEMI, + STATE(6138), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230094] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8981), 1, + anon_sym_SEMI, + STATE(6619), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230114] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8983), 1, + anon_sym_SEMI, + STATE(6459), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230134] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8985), 1, + anon_sym_SEMI, + STATE(5196), 1, + sym__attribute_designator, + STATE(5216), 1, + sym__predefined_attribute_designator, + STATE(5217), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230154] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8987), 1, + anon_sym_SEMI, + STATE(6521), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230174] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8989), 1, + anon_sym_SEMI, + STATE(5204), 1, + sym__attribute_designator, + STATE(5210), 1, + sym__predefined_attribute_designator, + STATE(5215), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230194] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8991), 1, + anon_sym_SEMI, + STATE(6436), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230214] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(8993), 1, + anon_sym_SEMI, + STATE(5185), 1, + sym__predefined_attribute_designator, + STATE(5186), 1, + sym__attribute_designator, + STATE(5237), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230234] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8995), 1, + anon_sym_SEMI, + STATE(6433), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230254] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8997), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6875), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230274] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8999), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6871), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230294] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9001), 1, + anon_sym_SEMI, + STATE(6158), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230314] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9003), 1, + anon_sym_SEMI, + STATE(6430), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230334] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9005), 1, + anon_sym_SEMI, + STATE(6445), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230354] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9007), 1, + anon_sym_SEMI, + STATE(6110), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230374] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9009), 1, + anon_sym_SEMI, + STATE(6407), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230394] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9011), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6810), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230414] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9013), 1, + anon_sym_SEMI, + STATE(5185), 1, + sym__predefined_attribute_designator, + STATE(5186), 1, + sym__attribute_designator, + STATE(5237), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230434] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9015), 1, + anon_sym_SEMI, + STATE(6404), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230454] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9017), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6869), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230474] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9019), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [230486] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8656), 1, + anon_sym_SEMI, + STATE(6426), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230506] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8682), 1, + anon_sym_SEMI, + STATE(6625), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230526] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9021), 1, + anon_sym_SEMI, + STATE(6092), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230546] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8640), 1, + anon_sym_SEMI, + STATE(6421), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230566] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8700), 1, + anon_sym_SEMI, + STATE(6177), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230586] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9023), 1, + anon_sym_SEMI, + STATE(6079), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230606] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9025), 1, + anon_sym_SEMI, + STATE(6448), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230626] = 4, + ACTIONS(9029), 1, + aux_sym_binding_indication_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9027), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + STATE(4977), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [230642] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9032), 1, + anon_sym_SEMI, + STATE(6411), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230662] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8510), 1, + anon_sym_SEMI, + STATE(6710), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230682] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9034), 1, + anon_sym_SEMI, + STATE(6802), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230702] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9036), 1, + anon_sym_SEMI, + STATE(6652), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230722] = 6, + ACTIONS(8791), 1, + anon_sym_COLON2, + ACTIONS(8793), 1, + anon_sym_SEMI2, + ACTIONS(9038), 1, + anon_sym_RBRACE, + STATE(5286), 1, + aux_sym__PSL_Concat_SERE_repeat1, + STATE(5287), 1, + aux_sym__PSL_Fusion_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230742] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9040), 1, + anon_sym_SEMI, + STATE(6408), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230762] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9042), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7305), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230782] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9044), 1, + anon_sym_SEMI, + STATE(6124), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230802] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9046), 1, + anon_sym_SEMI, + STATE(6695), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230822] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9048), 1, + anon_sym_SEMI, + STATE(6574), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230842] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8550), 1, + anon_sym_SEMI, + STATE(5999), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230862] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9050), 1, + anon_sym_SEMI, + STATE(6029), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230882] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9052), 1, + anon_sym_SEMI, + STATE(5187), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5188), 1, + sym__predefined_attribute_designator, + STATE(5189), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230902] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9054), 1, + anon_sym_SEMI, + STATE(6105), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230922] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9056), 1, + anon_sym_SEMI, + STATE(6106), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230942] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9058), 1, + anon_sym_SEMI, + STATE(5190), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5192), 1, + sym__predefined_attribute_designator, + STATE(5193), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230962] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9060), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [230974] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9063), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7308), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [230994] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9065), 1, + anon_sym_SEMI, + STATE(6338), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231014] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9067), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [231026] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8588), 1, + anon_sym_SEMI, + STATE(6204), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231046] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9069), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7160), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231066] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8542), 1, + anon_sym_SEMI, + STATE(6181), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231086] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9071), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231106] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9073), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [231118] = 5, + ACTIONS(9075), 1, + sym_basic_identifier, + ACTIONS(9077), 1, + aux_sym_entity_declaration_token4, + ACTIONS(9079), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5066), 2, + sym_secondary_unit_declaration, + aux_sym_physical_type_definition_repeat1, + [231136] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9081), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [231148] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9084), 1, + anon_sym_SEMI, + STATE(6598), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231168] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9086), 1, + anon_sym_SEMI, + STATE(6216), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231188] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8584), 1, + anon_sym_SEMI, + STATE(6220), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231208] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9088), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [231220] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8578), 1, + anon_sym_SEMI, + STATE(6227), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231240] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9090), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6828), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231260] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8574), 1, + anon_sym_SEMI, + STATE(6239), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231280] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9092), 1, + anon_sym_SEMI, + STATE(5973), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231300] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9094), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [231312] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9096), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [231324] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9098), 1, + anon_sym_SEMI, + STATE(6131), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231344] = 4, + ACTIONS(8077), 1, + aux_sym_entity_declaration_token4, + ACTIONS(8079), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5103), 3, + sym_block_configuration, + sym_component_configuration, + aux_sym_block_configuration_repeat2, + [231360] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8562), 1, + anon_sym_SEMI, + STATE(6368), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231380] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8558), 1, + anon_sym_SEMI, + STATE(6300), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231400] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9100), 1, + anon_sym_SEMI, + STATE(6161), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231420] = 6, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(9102), 1, + anon_sym_SEMI, + STATE(7231), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231440] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9104), 1, + anon_sym_SEMI, + STATE(5974), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231460] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8544), 1, + anon_sym_SEMI, + STATE(6314), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231480] = 6, + ACTIONS(8791), 1, + anon_sym_COLON2, + ACTIONS(8793), 1, + anon_sym_SEMI2, + ACTIONS(9106), 1, + anon_sym_RBRACE, + STATE(5286), 1, + aux_sym__PSL_Concat_SERE_repeat1, + STATE(5287), 1, + aux_sym__PSL_Fusion_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231500] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8526), 1, + anon_sym_SEMI, + STATE(6364), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231520] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9108), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7091), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231540] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9110), 1, + anon_sym_SEMI, + STATE(6160), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231560] = 6, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(9112), 1, + anon_sym_SEMI, + STATE(6713), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231580] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9114), 1, + anon_sym_SEMI, + STATE(6171), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231600] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9116), 1, + anon_sym_SEMI, + STATE(5976), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231620] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9118), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6857), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231640] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9120), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6845), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231660] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9122), 1, + anon_sym_SEMI, + STATE(5982), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231680] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9124), 1, + anon_sym_SEMI, + STATE(5981), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231700] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9126), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6844), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231720] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9128), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [231732] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9130), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7210), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231752] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(9132), 1, + sym_basic_identifier, + STATE(4562), 1, + sym_pathname_element, + STATE(5099), 1, + sym__simple_name, + STATE(5293), 1, + sym_generate_statement_element, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231772] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9134), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7142), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231792] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9136), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7117), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231812] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9138), 1, + anon_sym_SEMI, + STATE(6113), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231832] = 6, + ACTIONS(6752), 1, + aux_sym_return_token1, + ACTIONS(8967), 1, + anon_sym_COMMA, + ACTIONS(9140), 1, + anon_sym_RBRACK, + STATE(4944), 1, + aux_sym_signature_repeat1, + STATE(6728), 1, + sym_return, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231852] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8532), 1, + anon_sym_SEMI, + STATE(6143), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231872] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9142), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231892] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9144), 1, + anon_sym_SEMI, + STATE(5190), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5192), 1, + sym__predefined_attribute_designator, + STATE(5193), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231912] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9146), 1, + anon_sym_SEMI, + STATE(5187), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5188), 1, + sym__predefined_attribute_designator, + STATE(5189), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231932] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9148), 1, + anon_sym_SEMI, + STATE(6358), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231952] = 6, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(9150), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(7025), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231972] = 6, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(9152), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(7026), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [231992] = 6, + ACTIONS(8791), 1, + anon_sym_COLON2, + ACTIONS(8793), 1, + anon_sym_SEMI2, + ACTIONS(9154), 1, + anon_sym_RBRACE, + STATE(5286), 1, + aux_sym__PSL_Concat_SERE_repeat1, + STATE(5287), 1, + aux_sym__PSL_Fusion_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232012] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9156), 1, + anon_sym_SEMI, + STATE(6184), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232032] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9158), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6834), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232052] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9160), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6823), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232072] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9162), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [232084] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9164), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6831), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232104] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9166), 1, + anon_sym_SEMI, + STATE(6702), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232124] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9168), 1, + anon_sym_SEMI, + STATE(6333), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232144] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8496), 1, + anon_sym_SEMI, + STATE(6137), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232164] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9170), 1, + anon_sym_SEMI, + STATE(6330), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232184] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9172), 1, + anon_sym_SEMI, + STATE(5201), 1, + sym__attribute_designator, + STATE(5202), 1, + sym__predefined_attribute_designator, + STATE(5203), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232204] = 3, + ACTIONS(9174), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1936), 4, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + [232218] = 3, + ACTIONS(9176), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4881), 4, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_DOT, + anon_sym_SQUOTE, + [232232] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9178), 1, + anon_sym_SEMI, + STATE(5204), 1, + sym__attribute_designator, + STATE(5210), 1, + sym__predefined_attribute_designator, + STATE(5215), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232252] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9180), 1, + anon_sym_SEMI, + STATE(6373), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232272] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9182), 1, + anon_sym_SEMI, + STATE(6119), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232292] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9184), 1, + anon_sym_SEMI, + STATE(6374), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232312] = 5, + ACTIONS(9186), 1, + sym_basic_identifier, + ACTIONS(9189), 1, + aux_sym_entity_declaration_token4, + ACTIONS(9191), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5066), 2, + sym_secondary_unit_declaration, + aux_sym_physical_type_definition_repeat1, + [232330] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8452), 1, + anon_sym_SEMI, + STATE(6712), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232350] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9194), 1, + anon_sym_SEMI, + STATE(6088), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232370] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9196), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [232382] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9199), 1, + anon_sym_SEMI, + STATE(5196), 1, + sym__attribute_designator, + STATE(5216), 1, + sym__predefined_attribute_designator, + STATE(5217), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232402] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9201), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7329), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232422] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9203), 1, + anon_sym_SEMI, + STATE(6405), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232442] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9205), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6822), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232462] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9207), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7106), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232482] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9209), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7074), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232502] = 6, + ACTIONS(8791), 1, + anon_sym_COLON2, + ACTIONS(8793), 1, + anon_sym_SEMI2, + ACTIONS(9211), 1, + anon_sym_RBRACE, + STATE(5286), 1, + aux_sym__PSL_Concat_SERE_repeat1, + STATE(5287), 1, + aux_sym__PSL_Fusion_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232522] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9213), 1, + anon_sym_SEMI, + STATE(6129), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232542] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9215), 1, + anon_sym_SEMI, + STATE(6329), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232562] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9217), 1, + anon_sym_SEMI, + STATE(6185), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232582] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9219), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [232594] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9221), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [232606] = 4, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(9224), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [232622] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9226), 1, + anon_sym_SEMI, + STATE(6094), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232642] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9228), 1, + anon_sym_SEMI, + STATE(6337), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232662] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9230), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7209), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232682] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8472), 1, + anon_sym_SEMI, + STATE(6727), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232702] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9232), 1, + anon_sym_SEMI, + STATE(6335), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232722] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9234), 1, + anon_sym_SEMI, + STATE(6328), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232742] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9236), 1, + anon_sym_SEMI, + STATE(6334), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232762] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9238), 1, + anon_sym_SEMI, + STATE(6332), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232782] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9240), 1, + anon_sym_SEMI, + STATE(6490), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232802] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9242), 1, + anon_sym_SEMI, + STATE(6089), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232822] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9244), 1, + anon_sym_SEMI, + STATE(6196), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232842] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9246), 1, + anon_sym_SEMI, + STATE(6198), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232862] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9248), 1, + anon_sym_SEMI, + STATE(6729), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232882] = 4, + ACTIONS(9252), 1, + aux_sym_binding_indication_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9250), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + STATE(5096), 2, + sym_use_clause, + aux_sym_block_configuration_repeat1, + [232898] = 6, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7713), 1, + anon_sym_SEMI, + ACTIONS(7715), 1, + anon_sym_COMMA, + ACTIONS(8107), 1, + anon_sym_LPAREN, + STATE(5487), 1, + aux_sym_PSL_Inherit_Spec_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232918] = 6, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(7399), 1, + anon_sym_COMMA, + ACTIONS(7717), 1, + anon_sym_COLON, + ACTIONS(8107), 1, + anon_sym_LPAREN, + STATE(5499), 1, + aux_sym_signal_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232938] = 3, + ACTIONS(8202), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8200), 4, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym_binding_indication_token1, + anon_sym_DOT2, + [232952] = 4, + ACTIONS(8079), 1, + aux_sym_block_configuration_token1, + ACTIONS(9255), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5103), 3, + sym_block_configuration, + sym_component_configuration, + aux_sym_block_configuration_repeat2, + [232968] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9257), 1, + anon_sym_SEMI, + STATE(6307), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [232988] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9259), 1, + anon_sym_SEMI, + STATE(6211), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233008] = 4, + ACTIONS(9261), 1, + aux_sym_entity_declaration_token4, + ACTIONS(9263), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5103), 3, + sym_block_configuration, + sym_component_configuration, + aux_sym_block_configuration_repeat2, + [233024] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9266), 1, + anon_sym_SEMI, + STATE(6213), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233044] = 4, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + ACTIONS(8023), 2, + anon_sym_COMMA, + anon_sym_RBRACE, + [233060] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9268), 5, + anon_sym_SEMI, + anon_sym_COMMA, + anon_sym_COLON, + anon_sym_EQ_GT, + anon_sym_PIPE, + [233072] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9270), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7169), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233092] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9272), 1, + anon_sym_SEMI, + STATE(6203), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233112] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9274), 1, + anon_sym_SEMI, + STATE(6107), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233132] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9276), 1, + anon_sym_SEMI, + STATE(6108), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233152] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9278), 1, + anon_sym_SEMI, + STATE(6120), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233172] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9280), 1, + anon_sym_SEMI, + STATE(6214), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233192] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9282), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6905), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233212] = 6, + ACTIONS(8791), 1, + anon_sym_COLON2, + ACTIONS(8793), 1, + anon_sym_SEMI2, + ACTIONS(9284), 1, + anon_sym_RBRACE, + STATE(5286), 1, + aux_sym__PSL_Concat_SERE_repeat1, + STATE(5287), 1, + aux_sym__PSL_Fusion_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233232] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9286), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7115), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233252] = 4, + STATE(5286), 1, + aux_sym__PSL_Concat_SERE_repeat1, + STATE(5287), 1, + aux_sym__PSL_Fusion_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9288), 3, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [233268] = 4, + STATE(5286), 1, + aux_sym__PSL_Concat_SERE_repeat1, + STATE(5287), 1, + aux_sym__PSL_Fusion_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9290), 3, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [233284] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9292), 1, + anon_sym_SEMI, + STATE(6322), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233304] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8418), 1, + anon_sym_SEMI, + STATE(6775), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233324] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9294), 1, + anon_sym_SEMI, + STATE(6321), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233344] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9296), 1, + anon_sym_SEMI, + STATE(6212), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233364] = 6, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(9298), 1, + anon_sym_SEMI, + STATE(6117), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233384] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9300), 1, + anon_sym_SEMI, + STATE(6240), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233404] = 4, + ACTIONS(8977), 1, + anon_sym_COMMA, + STATE(4947), 1, + aux_sym_waveforms_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9302), 3, + anon_sym_SEMI, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [233420] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8432), 1, + anon_sym_SEMI, + STATE(6737), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233440] = 4, + ACTIONS(9306), 1, + anon_sym_COMMA, + STATE(5126), 1, + aux_sym_waveforms_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9304), 3, + anon_sym_SEMI, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [233456] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9309), 1, + anon_sym_SEMI, + STATE(6217), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233476] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9311), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6817), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233496] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9313), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6912), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233516] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9315), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7140), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233536] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8476), 1, + anon_sym_SEMI, + STATE(6794), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233556] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9317), 1, + anon_sym_SEMI, + STATE(6219), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233576] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9319), 1, + anon_sym_SEMI, + STATE(6312), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233596] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9321), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6917), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233616] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9323), 1, + anon_sym_SEMI, + STATE(6272), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233636] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8286), 1, + anon_sym_SEMI, + STATE(6592), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233656] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9325), 1, + anon_sym_SEMI, + STATE(6701), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233676] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9327), 1, + anon_sym_SEMI, + STATE(6266), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233696] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9329), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7141), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233716] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9331), 1, + anon_sym_SEMI, + STATE(6464), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233736] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9333), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6918), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233756] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9335), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6921), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233776] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9337), 1, + anon_sym_SEMI, + STATE(6127), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233796] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9339), 1, + anon_sym_SEMI, + STATE(6224), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233816] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9341), 1, + anon_sym_SEMI, + STATE(6238), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233836] = 4, + ACTIONS(9345), 1, + aux_sym_elsif_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9343), 2, + aux_sym_entity_declaration_token4, + aux_sym_alternative_conditional_waveforms_token1, + STATE(5146), 2, + sym_elsif, + aux_sym_if_statement_repeat1, + [233852] = 5, + ACTIONS(9075), 1, + sym_basic_identifier, + ACTIONS(9079), 1, + sym_extended_identifier, + ACTIONS(9348), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5003), 2, + sym_secondary_unit_declaration, + aux_sym_physical_type_definition_repeat1, + [233870] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9350), 1, + anon_sym_SEMI, + STATE(6241), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233890] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9352), 1, + anon_sym_SEMI, + STATE(6311), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233910] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9354), 1, + anon_sym_SEMI, + STATE(6301), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233930] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9356), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6815), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233950] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9358), 1, + anon_sym_SEMI, + STATE(5196), 1, + sym__attribute_designator, + STATE(5216), 1, + sym__predefined_attribute_designator, + STATE(5217), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233970] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9360), 1, + anon_sym_SEMI, + STATE(5204), 1, + sym__attribute_designator, + STATE(5210), 1, + sym__predefined_attribute_designator, + STATE(5215), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [233990] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9362), 1, + anon_sym_SEMI, + STATE(5201), 1, + sym__attribute_designator, + STATE(5202), 1, + sym__predefined_attribute_designator, + STATE(5203), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234010] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9364), 1, + anon_sym_SEMI, + STATE(5185), 1, + sym__predefined_attribute_designator, + STATE(5186), 1, + sym__attribute_designator, + STATE(5237), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234030] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9366), 1, + anon_sym_SEMI, + STATE(5187), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5188), 1, + sym__predefined_attribute_designator, + STATE(5189), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234050] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9368), 1, + anon_sym_SEMI, + STATE(6698), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234070] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9370), 1, + anon_sym_SEMI, + STATE(6738), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234090] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9372), 1, + anon_sym_SEMI, + STATE(6242), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234110] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9374), 1, + anon_sym_SEMI, + STATE(5190), 1, + sym__predefined_attribute_designator_with_expression, + STATE(5192), 1, + sym__predefined_attribute_designator, + STATE(5193), 1, + sym__attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234130] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9376), 5, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_return_token1, + [234142] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9379), 1, + anon_sym_SEMI, + STATE(6489), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234162] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9381), 1, + anon_sym_SEMI, + STATE(6631), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234182] = 6, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + ACTIONS(9383), 1, + anon_sym_SEMI, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234202] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9385), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7144), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234222] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9387), 1, + anon_sym_SEMI, + STATE(6524), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234242] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9389), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6806), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234262] = 6, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(9391), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(7301), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234282] = 6, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(9393), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(7328), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234302] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9395), 1, + anon_sym_SEMI, + STATE(5980), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234322] = 4, + ACTIONS(9399), 1, + aux_sym_elsif_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9397), 2, + aux_sym_entity_declaration_token4, + aux_sym_alternative_conditional_waveforms_token1, + STATE(5171), 2, + sym_elsif_generate, + aux_sym_if_generate_statement_repeat1, + [234338] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9402), 1, + anon_sym_SEMI, + STATE(6525), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234358] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9404), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7159), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234378] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8282), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(7009), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234398] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8342), 1, + anon_sym_SEMI, + STATE(6803), 1, + sym__simple_name, + STATE(6965), 1, + sym__end_simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234418] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9406), 1, + anon_sym_SEMI, + STATE(6236), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234438] = 6, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(9408), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(6678), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234458] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8392), 1, + anon_sym_SEMI, + STATE(6260), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234478] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8296), 1, + anon_sym_SEMI, + STATE(6234), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234498] = 6, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(9410), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + STATE(6677), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234518] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(8292), 1, + anon_sym_SEMI, + STATE(6232), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234538] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9412), 1, + anon_sym_SEMI, + STATE(5991), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234558] = 6, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(7731), 1, + sym_basic_identifier, + ACTIONS(9414), 1, + anon_sym_SEMI, + STATE(6075), 1, + sym__end_simple_name, + STATE(6803), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234578] = 4, + ACTIONS(9418), 1, + anon_sym_COMMA, + STATE(5184), 1, + aux_sym_PSL_Formal_Parameter_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9416), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [234593] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234604] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234615] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234626] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234637] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234648] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234659] = 4, + ACTIONS(767), 1, + anon_sym_LBRACK, + STATE(4655), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9421), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [234674] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234685] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234696] = 5, + ACTIONS(4720), 1, + anon_sym_SQUOTE, + STATE(2031), 1, + sym__attribute_designator, + STATE(2032), 1, + sym__predefined_attribute_designator, + STATE(2033), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234713] = 5, + ACTIONS(9423), 1, + anon_sym_SEMI, + ACTIONS(9425), 1, + aux_sym_block_configuration_token1, + ACTIONS(9427), 1, + aux_sym__sensitivity_clause_token1, + ACTIONS(9429), 1, + aux_sym__condition_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [234730] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234741] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6979), 4, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym__report_token1, + [234752] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6845), 4, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [234763] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5730), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9431), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [234778] = 4, + ACTIONS(9433), 1, + aux_sym_entity_declaration_token4, + ACTIONS(9435), 1, + aux_sym__when_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5200), 2, + sym_case_generate_alternative, + aux_sym_case_generate_statement_repeat1, + [234793] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234804] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234815] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234826] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234837] = 4, + ACTIONS(9438), 1, + anon_sym_COMMA, + STATE(5205), 1, + aux_sym_signature_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9441), 2, + aux_sym_return_token1, + anon_sym_RBRACK, + [234852] = 4, + ACTIONS(5623), 1, + anon_sym_PIPE, + STATE(5223), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9443), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [234867] = 4, + ACTIONS(9445), 1, + anon_sym_SEMI, + ACTIONS(9447), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5300), 2, + sym_alternative_conditional_waveforms, + aux_sym_conditional_waveforms_repeat1, + [234882] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9449), 4, + aux_sym_entity_declaration_token4, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [234893] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2067), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234904] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234915] = 4, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2031), 2, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + [234930] = 4, + ACTIONS(9451), 1, + aux_sym_entity_declaration_token4, + ACTIONS(9453), 1, + aux_sym__when_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5212), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [234945] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9304), 4, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [234956] = 4, + ACTIONS(9456), 1, + aux_sym_entity_declaration_token4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5212), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [234971] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234982] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [234993] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235004] = 4, + ACTIONS(9462), 1, + anon_sym_SEMI2, + STATE(5218), 1, + aux_sym__PSL_Concat_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9460), 2, + anon_sym_COLON2, + anon_sym_RBRACE, + [235019] = 4, + ACTIONS(9465), 1, + anon_sym_COLON2, + STATE(5219), 1, + aux_sym__PSL_Fusion_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9468), 2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [235034] = 3, + ACTIONS(9470), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2200), 3, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235047] = 5, + ACTIONS(9472), 1, + anon_sym_SEMI, + ACTIONS(9474), 1, + aux_sym_block_configuration_token1, + ACTIONS(9476), 1, + aux_sym__sensitivity_clause_token1, + ACTIONS(9478), 1, + aux_sym__condition_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235064] = 4, + ACTIONS(9482), 1, + anon_sym_COMMA, + STATE(5184), 1, + aux_sym_PSL_Formal_Parameter_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9480), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [235079] = 4, + ACTIONS(9486), 1, + anon_sym_PIPE, + STATE(5223), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9484), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [235094] = 5, + ACTIONS(651), 1, + aux_sym_boolean_token1, + ACTIONS(1170), 1, + anon_sym_LBRACE, + STATE(5615), 1, + sym_boolean, + STATE(5829), 1, + sym_PSL_Value_Set, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235111] = 3, + ACTIONS(9489), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2200), 3, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235124] = 5, + ACTIONS(9491), 1, + anon_sym_RPAREN, + ACTIONS(9493), 1, + anon_sym_DOT, + ACTIONS(9496), 1, + anon_sym_SLASH2, + STATE(5226), 1, + aux_sym_PSL_Hierarchical_HDL_Name_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235141] = 5, + ACTIONS(8843), 1, + anon_sym_DOT, + ACTIONS(8845), 1, + anon_sym_SLASH2, + ACTIONS(9499), 1, + anon_sym_RPAREN, + STATE(5226), 1, + aux_sym_PSL_Hierarchical_HDL_Name_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235158] = 4, + ACTIONS(9482), 1, + anon_sym_COMMA, + STATE(5222), 1, + aux_sym_PSL_Formal_Parameter_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9501), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [235173] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9503), 4, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym_binding_indication_token1, + anon_sym_DOT2, + [235184] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9505), 4, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym_binding_indication_token1, + anon_sym_DOT2, + [235195] = 4, + ACTIONS(1176), 1, + anon_sym_LT_GT, + STATE(5816), 1, + sym__any, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9507), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [235210] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9509), 4, + aux_sym_entity_declaration_token2, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_LT_GT, + [235221] = 4, + STATE(5508), 1, + sym_PSL_Parameter_Specification, + STATE(7090), 1, + sym_PSL_Parameters_Definition, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9511), 2, + sym_basic_identifier, + sym_extended_identifier, + [235236] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2067), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235247] = 4, + ACTIONS(9513), 1, + anon_sym_SEMI, + ACTIONS(9515), 1, + anon_sym_COMMA, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5238), 2, + sym_alternative_selected_expressions, + aux_sym_selected_expressions_repeat1, + [235262] = 4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(9517), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5301), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [235277] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2063), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235288] = 4, + ACTIONS(9515), 1, + anon_sym_COMMA, + ACTIONS(9519), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5283), 2, + sym_alternative_selected_expressions, + aux_sym_selected_expressions_repeat1, + [235303] = 4, + ACTIONS(9521), 1, + aux_sym_entity_declaration_token4, + ACTIONS(9523), 1, + aux_sym__when_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5200), 2, + sym_case_generate_alternative, + aux_sym_case_generate_statement_repeat1, + [235318] = 4, + ACTIONS(9525), 1, + anon_sym_SEMI, + ACTIONS(9527), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5294), 2, + sym_alternative_conditional_expressions, + aux_sym_conditional_expressions_repeat1, + [235333] = 5, + ACTIONS(8843), 1, + anon_sym_DOT, + ACTIONS(8845), 1, + anon_sym_SLASH2, + ACTIONS(9529), 1, + anon_sym_RPAREN, + STATE(5226), 1, + aux_sym_PSL_Hierarchical_HDL_Name_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235350] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2067), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235361] = 4, + ACTIONS(9531), 1, + anon_sym_SEMI, + ACTIONS(9533), 1, + anon_sym_COMMA, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5284), 2, + sym_alternative_selected_waveforms, + aux_sym_selected_waveforms_repeat1, + [235376] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2067), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235387] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2067), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235398] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2067), 4, + anon_sym_SEMI, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235409] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9421), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [235424] = 4, + ACTIONS(5623), 1, + anon_sym_PIPE, + STATE(5223), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9535), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [235439] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6824), 4, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_LBRACK, + aux_sym__report_token1, + [235450] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8500), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + [235461] = 4, + ACTIONS(9533), 1, + anon_sym_COMMA, + ACTIONS(9537), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5243), 2, + sym_alternative_selected_waveforms, + aux_sym_selected_waveforms_repeat1, + [235476] = 5, + ACTIONS(7542), 1, + anon_sym_SQUOTE, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235493] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5810), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9539), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [235508] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8508), 4, + anon_sym_RPAREN, + anon_sym_COMMA, + aux_sym_PSL_Union_Expression_token1, + anon_sym_RBRACE, + [235519] = 5, + ACTIONS(9541), 1, + sym_basic_identifier, + ACTIONS(9543), 1, + aux_sym_entity_declaration_token4, + ACTIONS(9545), 1, + sym_extended_identifier, + STATE(5147), 1, + sym_primary_unit_declaration, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235536] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5812), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8254), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [235551] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5814), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9547), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [235566] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5815), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9549), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [235581] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9551), 4, + aux_sym_entity_declaration_token4, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [235592] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9553), 4, + aux_sym_entity_declaration_token4, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [235603] = 5, + ACTIONS(1006), 1, + sym_basic_identifier, + ACTIONS(1024), 1, + sym_extended_identifier, + ACTIONS(9555), 1, + aux_sym_for_generate_statement_token1, + STATE(6974), 1, + sym_label, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235620] = 3, + ACTIONS(9557), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2200), 3, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235633] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9559), 4, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_RBRACK, + [235644] = 5, + ACTIONS(9561), 1, + anon_sym_SEMI, + ACTIONS(9563), 1, + aux_sym_block_configuration_token1, + ACTIONS(9565), 1, + aux_sym__sensitivity_clause_token1, + ACTIONS(9567), 1, + aux_sym__condition_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235661] = 5, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(9569), 1, + sym_basic_identifier, + STATE(3805), 1, + sym__simple_name, + STATE(5766), 1, + sym_record_element_resolution, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235678] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1223), 4, + aux_sym_entity_declaration_token4, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [235689] = 4, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7544), 2, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [235704] = 4, + ACTIONS(9571), 1, + anon_sym_SEMI, + ACTIONS(9573), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5268), 2, + sym_alternative_conditional_expressions, + aux_sym_conditional_expressions_repeat1, + [235719] = 4, + ACTIONS(9523), 1, + aux_sym__when_clause_token1, + ACTIONS(9576), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5239), 2, + sym_case_generate_alternative, + aux_sym_case_generate_statement_repeat1, + [235734] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(3954), 4, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [235745] = 4, + ACTIONS(9447), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(9578), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5207), 2, + sym_alternative_conditional_waveforms, + aux_sym_conditional_waveforms_repeat1, + [235760] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9580), 4, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [235771] = 4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(9582), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5212), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [235786] = 3, + ACTIONS(9584), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2200), 3, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235799] = 5, + ACTIONS(5587), 1, + anon_sym_SQUOTE, + STATE(3254), 1, + sym__attribute_designator, + STATE(3255), 1, + sym__predefined_attribute_designator, + STATE(3258), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235816] = 3, + ACTIONS(9586), 1, + anon_sym_AT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6887), 3, + anon_sym_SEMI, + anon_sym_LBRACK, + aux_sym__report_token1, + [235829] = 5, + ACTIONS(5021), 1, + anon_sym_SQUOTE, + STATE(2064), 1, + sym__attribute_designator, + STATE(2065), 1, + sym__predefined_attribute_designator, + STATE(2066), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235846] = 4, + ACTIONS(9523), 1, + aux_sym__when_clause_token1, + ACTIONS(9588), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5200), 2, + sym_case_generate_alternative, + aux_sym_case_generate_statement_repeat1, + [235861] = 5, + ACTIONS(5293), 1, + anon_sym_SQUOTE, + STATE(2064), 1, + sym__attribute_designator, + STATE(2065), 1, + sym__predefined_attribute_designator, + STATE(2066), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235878] = 4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(9590), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5212), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [235893] = 4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(9592), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5214), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [235908] = 3, + ACTIONS(9594), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2200), 3, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [235921] = 4, + ACTIONS(9596), 1, + anon_sym_SEMI, + ACTIONS(9598), 1, + anon_sym_COMMA, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5283), 2, + sym_alternative_selected_expressions, + aux_sym_selected_expressions_repeat1, + [235936] = 4, + ACTIONS(9601), 1, + anon_sym_SEMI, + ACTIONS(9603), 1, + anon_sym_COMMA, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5284), 2, + sym_alternative_selected_waveforms, + aux_sym_selected_waveforms_repeat1, + [235951] = 5, + ACTIONS(4652), 1, + anon_sym_SQUOTE, + STATE(3688), 1, + sym__attribute_designator, + STATE(3689), 1, + sym__predefined_attribute_designator, + STATE(3690), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [235968] = 4, + ACTIONS(8793), 1, + anon_sym_SEMI2, + STATE(5218), 1, + aux_sym__PSL_Concat_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9606), 2, + anon_sym_COLON2, + anon_sym_RBRACE, + [235983] = 4, + ACTIONS(8791), 1, + anon_sym_COLON2, + STATE(5219), 1, + aux_sym__PSL_Fusion_SERE_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9608), 2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [235998] = 5, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(9610), 1, + sym_basic_identifier, + STATE(4876), 1, + sym__simple_name, + STATE(6838), 1, + sym_PSL_Hierarchical_HDL_Name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236015] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6824), 4, + anon_sym_LBRACK, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [236026] = 5, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(9612), 1, + sym_basic_identifier, + STATE(5439), 1, + sym__simple_name, + STATE(7217), 1, + sym_logical_name_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236043] = 5, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(8774), 1, + anon_sym_RPAREN, + STATE(5613), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236060] = 5, + ACTIONS(651), 1, + aux_sym_boolean_token1, + ACTIONS(1170), 1, + anon_sym_LBRACE, + STATE(5615), 1, + sym_boolean, + STATE(5725), 1, + sym_PSL_Value_Set, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236077] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9614), 4, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym_binding_indication_token1, + anon_sym_DOT2, + [236088] = 4, + ACTIONS(9527), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(9616), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5268), 2, + sym_alternative_conditional_expressions, + aux_sym_conditional_expressions_repeat1, + [236103] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7397), 4, + anon_sym_SEMI, + aux_sym_block_configuration_token1, + anon_sym_RPAREN, + aux_sym__condition_clause_token1, + [236114] = 5, + ACTIONS(9618), 1, + anon_sym_SEMI, + ACTIONS(9620), 1, + aux_sym_block_configuration_token1, + ACTIONS(9622), 1, + aux_sym__sensitivity_clause_token1, + ACTIONS(9624), 1, + aux_sym__condition_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236131] = 4, + ACTIONS(9628), 1, + anon_sym_CARET_DOT, + STATE(5297), 1, + aux_sym_relative_pathname_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9626), 2, + sym_basic_identifier, + sym_extended_identifier, + [236146] = 3, + ACTIONS(9631), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(2200), 3, + anon_sym_LPAREN, + anon_sym_LBRACK, + anon_sym_SQUOTE, + [236159] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + STATE(5916), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9633), 2, + anon_sym_SEMI, + aux_sym_alternative_conditional_waveforms_token1, + [236174] = 4, + ACTIONS(9635), 1, + anon_sym_SEMI, + ACTIONS(9637), 1, + aux_sym_alternative_conditional_waveforms_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5300), 2, + sym_alternative_conditional_waveforms, + aux_sym_conditional_waveforms_repeat1, + [236189] = 4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(9640), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5212), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [236204] = 4, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7831), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [236219] = 5, + ACTIONS(8843), 1, + anon_sym_DOT, + ACTIONS(8845), 1, + anon_sym_SLASH2, + ACTIONS(9642), 1, + anon_sym_RPAREN, + STATE(5241), 1, + aux_sym_PSL_Hierarchical_HDL_Name_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236236] = 5, + ACTIONS(2008), 1, + anon_sym_SQUOTE, + STATE(578), 1, + sym__attribute_designator, + STATE(607), 1, + sym__predefined_attribute_designator_with_expression, + STATE(608), 1, + sym__predefined_attribute_designator, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236253] = 4, + ACTIONS(1999), 1, + anon_sym_LBRACK, + STATE(5715), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9644), 2, + anon_sym_COMMA, + anon_sym_COLON, + [236268] = 5, + ACTIONS(5027), 1, + anon_sym_SQUOTE, + STATE(2773), 1, + sym__attribute_designator, + STATE(2777), 1, + sym__predefined_attribute_designator, + STATE(2778), 1, + sym__predefined_attribute_designator_with_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236285] = 4, + STATE(5508), 1, + sym_PSL_Parameter_Specification, + STATE(6811), 1, + sym_PSL_Parameters_Definition, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9511), 2, + sym_basic_identifier, + sym_extended_identifier, + [236300] = 5, + ACTIONS(9646), 1, + aux_sym_entity_declaration_token2, + ACTIONS(9648), 1, + anon_sym_SEMI, + ACTIONS(9650), 1, + aux_sym_open_token1, + STATE(6572), 1, + sym_file_open_information, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236317] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9652), 4, + aux_sym_entity_declaration_token4, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [236328] = 4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(9654), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5280), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [236343] = 4, + ACTIONS(9523), 1, + aux_sym__when_clause_token1, + ACTIONS(9656), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5278), 2, + sym_case_generate_alternative, + aux_sym_case_generate_statement_repeat1, + [236358] = 4, + ACTIONS(9523), 1, + aux_sym__when_clause_token1, + ACTIONS(9658), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5200), 2, + sym_case_generate_alternative, + aux_sym_case_generate_statement_repeat1, + [236373] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9660), 4, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_RBRACK, + [236384] = 5, + ACTIONS(9646), 1, + aux_sym_entity_declaration_token2, + ACTIONS(9650), 1, + aux_sym_open_token1, + ACTIONS(9662), 1, + anon_sym_SEMI, + STATE(7242), 1, + sym_file_open_information, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236401] = 4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(9664), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5273), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [236416] = 4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(9666), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5212), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [236431] = 4, + ACTIONS(9523), 1, + aux_sym__when_clause_token1, + ACTIONS(9668), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5312), 2, + sym_case_generate_alternative, + aux_sym_case_generate_statement_repeat1, + [236446] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1218), 4, + aux_sym_entity_declaration_token4, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [236457] = 4, + ACTIONS(9523), 1, + aux_sym__when_clause_token1, + ACTIONS(9670), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5200), 2, + sym_case_generate_alternative, + aux_sym_case_generate_statement_repeat1, + [236472] = 4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(9672), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5212), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [236487] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9674), 4, + aux_sym_entity_declaration_token4, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [236498] = 4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(9676), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5316), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [236513] = 4, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(9678), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4977), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [236528] = 5, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(9680), 1, + sym_basic_identifier, + STATE(5332), 1, + sym__simple_name, + STATE(5877), 1, + sym_record_element_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236545] = 4, + ACTIONS(9523), 1, + aux_sym__when_clause_token1, + ACTIONS(9682), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5319), 2, + sym_case_generate_alternative, + aux_sym_case_generate_statement_repeat1, + [236560] = 4, + ACTIONS(9458), 1, + aux_sym__when_clause_token1, + ACTIONS(9684), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5320), 2, + sym_case_statement_alternative, + aux_sym_case_statement_repeat1, + [236575] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9484), 4, + anon_sym_SEMI, + anon_sym_COMMA, + anon_sym_EQ_GT, + anon_sym_PIPE, + [236586] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5920), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8246), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [236601] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5921), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9686), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [236616] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5919), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9688), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [236631] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + STATE(5918), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9690), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [236646] = 4, + ACTIONS(2047), 1, + anon_sym_LPAREN, + STATE(555), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(5772), 2, + sym_array_constraint, + sym_record_constraint, + [236661] = 5, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(8322), 1, + anon_sym_RPAREN, + STATE(5459), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236678] = 4, + ACTIONS(5623), 1, + anon_sym_PIPE, + STATE(5248), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9692), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [236693] = 4, + ACTIONS(2143), 1, + aux_sym_binding_indication_token1, + ACTIONS(9694), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + STATE(4977), 2, + sym_verification_unit_binding_indication, + aux_sym_configuration_declaration_repeat1, + [236708] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9696), 4, + aux_sym_entity_declaration_token4, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [236719] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9698), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236733] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(9700), 1, + anon_sym_SEMI, + STATE(6809), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236747] = 3, + ACTIONS(9702), 1, + sym_basic_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9704), 2, + aux_sym_entity_declaration_token4, + sym_extended_identifier, + [236759] = 4, + ACTIONS(9706), 1, + sym_basic_identifier, + ACTIONS(9708), 1, + aux_sym_package_body_token1, + ACTIONS(9710), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236773] = 4, + ACTIONS(7773), 1, + anon_sym_RPAREN, + ACTIONS(9712), 1, + anon_sym_COMMA, + STATE(5341), 1, + aux_sym_group_constituent_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236787] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(9715), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236801] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(9717), 1, + anon_sym_SEMI, + STATE(7188), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236815] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(7282), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236829] = 4, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(9721), 1, + sym_basic_identifier, + STATE(5781), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236843] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(7276), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236857] = 4, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(9723), 1, + anon_sym_SEMI, + STATE(7212), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236871] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(7273), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236885] = 4, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(8322), 1, + anon_sym_RPAREN, + STATE(5459), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236899] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(7272), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236913] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(8322), 1, + anon_sym_RPAREN, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236927] = 4, + ACTIONS(9725), 1, + anon_sym_RPAREN, + ACTIONS(9727), 1, + anon_sym_COMMA, + STATE(5352), 1, + aux_sym_unbounded_array_definition_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236941] = 4, + ACTIONS(7761), 1, + anon_sym_SEMI, + ACTIONS(9730), 1, + anon_sym_COMMA, + STATE(5353), 1, + aux_sym_verification_unit_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236955] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + ACTIONS(9733), 1, + anon_sym_SEMI, + STATE(7240), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236969] = 4, + ACTIONS(9735), 1, + aux_sym_constant_declaration_token1, + ACTIONS(9737), 1, + aux_sym_signal_declaration_token1, + ACTIONS(9739), 1, + aux_sym_variable_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236983] = 4, + ACTIONS(9741), 1, + aux_sym_constant_declaration_token1, + ACTIONS(9743), 1, + aux_sym_signal_declaration_token1, + ACTIONS(9745), 1, + aux_sym_variable_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [236997] = 4, + ACTIONS(9747), 1, + aux_sym_constant_declaration_token1, + ACTIONS(9749), 1, + aux_sym_signal_declaration_token1, + ACTIONS(9751), 1, + aux_sym_variable_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237011] = 4, + ACTIONS(9753), 1, + aux_sym_constant_declaration_token1, + ACTIONS(9755), 1, + aux_sym_signal_declaration_token1, + ACTIONS(9757), 1, + aux_sym_variable_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237025] = 4, + ACTIONS(9759), 1, + sym_basic_identifier, + ACTIONS(9761), 1, + sym_extended_identifier, + STATE(7257), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237039] = 4, + ACTIONS(9763), 1, + anon_sym_SEMI, + ACTIONS(9765), 1, + anon_sym_RPAREN, + STATE(5466), 1, + aux_sym__generic_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237053] = 4, + ACTIONS(9763), 1, + anon_sym_SEMI, + ACTIONS(9767), 1, + anon_sym_RPAREN, + STATE(5467), 1, + aux_sym__generic_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237067] = 4, + ACTIONS(763), 1, + anon_sym_LPAREN, + STATE(2245), 1, + sym_aggregate, + STATE(2246), 1, + sym_parenthesized_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237081] = 4, + ACTIONS(9769), 1, + anon_sym_SEMI, + ACTIONS(9771), 1, + anon_sym_RPAREN, + STATE(5469), 1, + aux_sym__port_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237095] = 4, + ACTIONS(9769), 1, + anon_sym_SEMI, + ACTIONS(9773), 1, + anon_sym_RPAREN, + STATE(5470), 1, + aux_sym__port_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237109] = 3, + ACTIONS(9775), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [237121] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(9777), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237135] = 4, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(6907), 1, + anon_sym_SEMI, + STATE(7289), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237149] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(9779), 1, + anon_sym_SEMI, + STATE(7330), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237163] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9781), 1, + anon_sym_SEMI, + STATE(7292), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237177] = 4, + ACTIONS(9783), 1, + anon_sym_COMMA, + ACTIONS(9786), 1, + anon_sym_RBRACE, + STATE(5370), 1, + aux_sym_PSL_Value_Set_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237191] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9788), 1, + anon_sym_SEMI, + STATE(7295), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237205] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9790), 3, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_COLON, + [237215] = 4, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(6881), 1, + anon_sym_SEMI, + STATE(7297), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237229] = 4, + ACTIONS(9792), 1, + aux_sym_constant_declaration_token1, + ACTIONS(9794), 1, + aux_sym_signal_declaration_token1, + ACTIONS(9796), 1, + aux_sym_variable_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237243] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9798), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237257] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9800), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237271] = 4, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(9802), 1, + sym_basic_identifier, + STATE(5926), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237285] = 4, + ACTIONS(7831), 1, + anon_sym_SEMI, + ACTIONS(9804), 1, + anon_sym_COMMA, + STATE(5378), 1, + aux_sym_PSL_Inherit_Spec_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237299] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(9807), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237313] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9809), 3, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COMMA, + [237323] = 4, + ACTIONS(5186), 1, + anon_sym_COMMA, + ACTIONS(9811), 1, + anon_sym_RPAREN, + STATE(5341), 1, + aux_sym_group_constituent_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237337] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9813), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237351] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(9815), 1, + anon_sym_SEMI, + STATE(7204), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237365] = 4, + ACTIONS(9817), 1, + anon_sym_RPAREN, + ACTIONS(9819), 1, + anon_sym_COMMA, + STATE(5384), 1, + aux_sym_entity_class_entry_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237379] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(9822), 1, + anon_sym_SEMI, + STATE(7188), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237393] = 3, + ACTIONS(9824), 1, + aux_sym__in_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(4881), 2, + anon_sym_COMMA, + anon_sym_COLON, + [237405] = 3, + ACTIONS(9826), 1, + aux_sym__in_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(1936), 2, + anon_sym_COMMA, + anon_sym_COLON, + [237417] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(9828), 1, + anon_sym_SEMI, + STATE(7327), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237431] = 4, + ACTIONS(9830), 1, + anon_sym_SEMI, + ACTIONS(9832), 1, + aux_sym_block_configuration_token1, + ACTIONS(9834), 1, + aux_sym__condition_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237445] = 4, + ACTIONS(8204), 1, + anon_sym_COMMA, + ACTIONS(8206), 1, + anon_sym_COLON, + STATE(5462), 1, + aux_sym_instantiation_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237459] = 4, + ACTIONS(9836), 1, + anon_sym_RPAREN, + ACTIONS(9838), 1, + anon_sym_COMMA, + STATE(5395), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237473] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(9840), 1, + anon_sym_SEMI, + STATE(7188), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237487] = 4, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(9692), 1, + anon_sym_EQ_GT, + STATE(5463), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237501] = 4, + ACTIONS(9842), 1, + anon_sym_RPAREN, + ACTIONS(9844), 1, + anon_sym_COMMA, + STATE(5397), 1, + aux_sym_record_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237515] = 4, + ACTIONS(9838), 1, + anon_sym_COMMA, + ACTIONS(9846), 1, + anon_sym_RPAREN, + STATE(5409), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237529] = 4, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(9848), 1, + sym_basic_identifier, + STATE(7158), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237543] = 4, + ACTIONS(9844), 1, + anon_sym_COMMA, + ACTIONS(9850), 1, + anon_sym_RPAREN, + STATE(5408), 1, + aux_sym_record_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237557] = 3, + ACTIONS(9852), 1, + sym_basic_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9854), 2, + aux_sym_entity_declaration_token4, + sym_extended_identifier, + [237569] = 4, + ACTIONS(9856), 1, + anon_sym_COMMA, + ACTIONS(9858), 1, + anon_sym_COLON, + STATE(5483), 1, + aux_sym_identifier_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237583] = 4, + ACTIONS(9856), 1, + anon_sym_COMMA, + ACTIONS(9860), 1, + anon_sym_COLON, + STATE(5485), 1, + aux_sym_identifier_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237597] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(7153), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237611] = 3, + ACTIONS(9862), 1, + sym_basic_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9864), 2, + aux_sym_entity_declaration_token4, + sym_extended_identifier, + [237623] = 4, + ACTIONS(9866), 1, + anon_sym_RPAREN, + ACTIONS(9868), 1, + anon_sym_COMMA, + STATE(5352), 1, + aux_sym_unbounded_array_definition_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237637] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9870), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237651] = 4, + ACTIONS(9872), 1, + anon_sym_RPAREN, + ACTIONS(9874), 1, + anon_sym_COMMA, + STATE(5405), 1, + aux_sym_enumeration_type_definition_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237665] = 4, + ACTIONS(9877), 1, + anon_sym_RPAREN, + ACTIONS(9880), 1, + anon_sym_COMMA, + STATE(5550), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237679] = 4, + ACTIONS(9838), 1, + anon_sym_COMMA, + ACTIONS(9883), 1, + anon_sym_RPAREN, + STATE(5550), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237693] = 4, + ACTIONS(9885), 1, + anon_sym_RPAREN, + ACTIONS(9887), 1, + anon_sym_COMMA, + STATE(5408), 1, + aux_sym_record_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237707] = 4, + ACTIONS(9890), 1, + anon_sym_RPAREN, + ACTIONS(9892), 1, + anon_sym_COMMA, + STATE(5409), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237721] = 4, + ACTIONS(9838), 1, + anon_sym_COMMA, + ACTIONS(9895), 1, + anon_sym_RPAREN, + STATE(5515), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237735] = 4, + ACTIONS(9897), 1, + aux_sym_constant_declaration_token1, + ACTIONS(9899), 1, + aux_sym_signal_declaration_token1, + ACTIONS(9901), 1, + aux_sym_variable_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237749] = 4, + ACTIONS(9844), 1, + anon_sym_COMMA, + ACTIONS(9903), 1, + anon_sym_RPAREN, + STATE(5516), 1, + aux_sym_record_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237763] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(9905), 1, + anon_sym_SEMI, + STATE(7330), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237777] = 4, + ACTIONS(7709), 1, + anon_sym_COMMA, + ACTIONS(9907), 1, + anon_sym_SEMI, + STATE(5353), 1, + aux_sym_verification_unit_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237791] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9909), 3, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + aux_sym_binding_indication_token1, + [237801] = 4, + ACTIONS(9911), 1, + anon_sym_SEMI, + ACTIONS(9913), 1, + aux_sym_block_configuration_token1, + ACTIONS(9915), 1, + aux_sym__condition_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237815] = 4, + ACTIONS(1814), 1, + anon_sym_LPAREN, + STATE(2962), 1, + sym_aggregate, + STATE(2963), 1, + sym_parenthesized_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237829] = 4, + ACTIONS(7699), 1, + anon_sym_COMMA, + ACTIONS(9917), 1, + anon_sym_SEMI, + STATE(5607), 1, + aux_sym_use_clause_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237843] = 3, + ACTIONS(9919), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [237855] = 4, + ACTIONS(938), 1, + anon_sym_LPAREN, + STATE(2264), 1, + sym_parenthesized_expression, + STATE(2308), 1, + sym_aggregate, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237869] = 4, + ACTIONS(9921), 1, + anon_sym_LPAREN, + ACTIONS(9923), 1, + anon_sym_LBRACE, + STATE(1228), 1, + sym_PSL_Verification_Unit_Body, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237883] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9925), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237897] = 4, + ACTIONS(7399), 1, + anon_sym_COMMA, + ACTIONS(7717), 1, + anon_sym_COLON, + STATE(5499), 1, + aux_sym_signal_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237911] = 3, + STATE(7077), 1, + sym_PSL_Parameter_Specification, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9511), 2, + sym_basic_identifier, + sym_extended_identifier, + [237923] = 4, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(6865), 1, + anon_sym_SEMI, + STATE(7071), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237937] = 4, + ACTIONS(9927), 1, + sym_basic_identifier, + ACTIONS(9929), 1, + sym_extended_identifier, + STATE(7065), 1, + sym_parameter_specification, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237951] = 3, + ACTIONS(9931), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [237963] = 3, + ACTIONS(9933), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [237975] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9935), 1, + anon_sym_SEMI, + STATE(7063), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [237989] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + ACTIONS(9937), 1, + anon_sym_SEMI, + STATE(6955), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238003] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9939), 1, + anon_sym_SEMI, + STATE(7062), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238017] = 4, + ACTIONS(9941), 1, + anon_sym_SEMI, + ACTIONS(9944), 1, + anon_sym_RPAREN, + STATE(5432), 1, + aux_sym__port_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238031] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + ACTIONS(9946), 1, + anon_sym_SEMI, + STATE(6954), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238045] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(9948), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238059] = 4, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(6863), 1, + anon_sym_SEMI, + STATE(7298), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238073] = 4, + ACTIONS(9838), 1, + anon_sym_COMMA, + ACTIONS(9950), 1, + anon_sym_RPAREN, + STATE(5445), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238087] = 4, + ACTIONS(9844), 1, + anon_sym_COMMA, + ACTIONS(9952), 1, + anon_sym_RPAREN, + STATE(5446), 1, + aux_sym_record_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238101] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9954), 1, + anon_sym_SEMI, + STATE(7271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238115] = 4, + ACTIONS(9956), 1, + anon_sym_SEMI, + ACTIONS(9958), 1, + anon_sym_COMMA, + STATE(5524), 1, + aux_sym_logical_name_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238129] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(9960), 1, + anon_sym_SEMI, + STATE(7268), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238143] = 4, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(6829), 1, + anon_sym_SEMI, + STATE(7027), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238157] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(9962), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238171] = 4, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(6861), 1, + anon_sym_SEMI, + STATE(7264), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238185] = 3, + ACTIONS(9964), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [238197] = 4, + ACTIONS(9838), 1, + anon_sym_COMMA, + ACTIONS(9966), 1, + anon_sym_RPAREN, + STATE(5409), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238211] = 4, + ACTIONS(9844), 1, + anon_sym_COMMA, + ACTIONS(9968), 1, + anon_sym_RPAREN, + STATE(5408), 1, + aux_sym_record_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238225] = 4, + ACTIONS(9921), 1, + anon_sym_LPAREN, + ACTIONS(9923), 1, + anon_sym_LBRACE, + STATE(1633), 1, + sym_PSL_Verification_Unit_Body, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238239] = 4, + ACTIONS(9921), 1, + anon_sym_LPAREN, + ACTIONS(9923), 1, + anon_sym_LBRACE, + STATE(1637), 1, + sym_PSL_Verification_Unit_Body, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238253] = 4, + ACTIONS(9927), 1, + sym_basic_identifier, + ACTIONS(9929), 1, + sym_extended_identifier, + STATE(5780), 1, + sym_parameter_specification, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238267] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(9970), 1, + anon_sym_SEMI, + STATE(6951), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238281] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9972), 3, + aux_sym_entity_declaration_token4, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [238291] = 4, + ACTIONS(9974), 1, + anon_sym_SEMI, + ACTIONS(9977), 1, + anon_sym_RPAREN, + STATE(5452), 1, + aux_sym__generic_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238305] = 4, + ACTIONS(1854), 1, + anon_sym_LPAREN, + STATE(3432), 1, + sym_aggregate, + STATE(3433), 1, + sym_parenthesized_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238319] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(9979), 1, + anon_sym_SEMI, + STATE(7245), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238333] = 4, + ACTIONS(9838), 1, + anon_sym_COMMA, + ACTIONS(9981), 1, + anon_sym_RPAREN, + STATE(5457), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238347] = 4, + ACTIONS(9844), 1, + anon_sym_COMMA, + ACTIONS(9983), 1, + anon_sym_RPAREN, + STATE(5460), 1, + aux_sym_record_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238361] = 4, + ACTIONS(9838), 1, + anon_sym_COMMA, + ACTIONS(9985), 1, + anon_sym_RPAREN, + STATE(5409), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238375] = 4, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(9987), 1, + anon_sym_RPAREN, + STATE(5479), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238389] = 4, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(9989), 1, + anon_sym_RPAREN, + STATE(5479), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238403] = 4, + ACTIONS(9844), 1, + anon_sym_COMMA, + ACTIONS(9991), 1, + anon_sym_RPAREN, + STATE(5408), 1, + aux_sym_record_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238417] = 4, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(9993), 1, + sym_basic_identifier, + STATE(5760), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238431] = 4, + ACTIONS(8204), 1, + anon_sym_COMMA, + ACTIONS(9995), 1, + anon_sym_COLON, + STATE(5560), 1, + aux_sym_instantiation_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238445] = 4, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(9535), 1, + anon_sym_EQ_GT, + STATE(5562), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238459] = 4, + ACTIONS(9997), 1, + anon_sym_RPAREN, + ACTIONS(9999), 1, + anon_sym_COMMA, + STATE(5464), 1, + aux_sym_PSL_Actual_Parameter_List_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238473] = 4, + ACTIONS(1072), 1, + anon_sym_LPAREN, + STATE(1285), 1, + sym_aggregate, + STATE(1289), 1, + sym_parenthesized_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238487] = 4, + ACTIONS(9763), 1, + anon_sym_SEMI, + ACTIONS(10002), 1, + anon_sym_RPAREN, + STATE(5452), 1, + aux_sym__generic_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238501] = 4, + ACTIONS(9763), 1, + anon_sym_SEMI, + ACTIONS(10004), 1, + anon_sym_RPAREN, + STATE(5452), 1, + aux_sym__generic_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238515] = 4, + ACTIONS(4610), 1, + anon_sym_PIPE, + ACTIONS(9443), 1, + anon_sym_EQ_GT, + STATE(5562), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238529] = 4, + ACTIONS(9769), 1, + anon_sym_SEMI, + ACTIONS(10006), 1, + anon_sym_RPAREN, + STATE(5432), 1, + aux_sym__port_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238543] = 4, + ACTIONS(9769), 1, + anon_sym_SEMI, + ACTIONS(10008), 1, + anon_sym_RPAREN, + STATE(5432), 1, + aux_sym__port_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238557] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8694), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [238567] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8696), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [238577] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8708), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [238587] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + ACTIONS(10010), 1, + anon_sym_SEMI, + STATE(7236), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238601] = 3, + ACTIONS(10012), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [238613] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8712), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [238623] = 3, + ACTIONS(10014), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [238635] = 3, + ACTIONS(10018), 1, + anon_sym_SLASH2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10016), 2, + anon_sym_RPAREN, + anon_sym_DOT, + [238647] = 4, + ACTIONS(9224), 1, + anon_sym_RPAREN, + ACTIONS(10020), 1, + anon_sym_COMMA, + STATE(5479), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238661] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(6973), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238675] = 4, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(10023), 1, + sym_basic_identifier, + STATE(6972), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238689] = 3, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9224), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [238701] = 4, + ACTIONS(9856), 1, + anon_sym_COMMA, + ACTIONS(10025), 1, + anon_sym_COLON, + STATE(5593), 1, + aux_sym_identifier_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238715] = 4, + ACTIONS(10027), 1, + anon_sym_COMMA, + ACTIONS(10029), 1, + anon_sym_RBRACE, + STATE(5370), 1, + aux_sym_PSL_Value_Set_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238729] = 4, + ACTIONS(9856), 1, + anon_sym_COMMA, + ACTIONS(10031), 1, + anon_sym_COLON, + STATE(5593), 1, + aux_sym_identifier_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238743] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10033), 3, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_COLON, + [238753] = 4, + ACTIONS(7715), 1, + anon_sym_COMMA, + ACTIONS(10035), 1, + anon_sym_SEMI, + STATE(5378), 1, + aux_sym_PSL_Inherit_Spec_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238767] = 4, + ACTIONS(10037), 1, + sym_basic_identifier, + ACTIONS(10039), 1, + sym_extended_identifier, + STATE(7263), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238781] = 4, + ACTIONS(10041), 1, + anon_sym_SEMI, + ACTIONS(10044), 1, + anon_sym_RPAREN, + STATE(5489), 1, + aux_sym_PSL_Formal_Parameter_List_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238795] = 4, + ACTIONS(10046), 1, + anon_sym_RPAREN, + ACTIONS(10048), 1, + anon_sym_COMMA, + STATE(5384), 1, + aux_sym_entity_class_entry_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238809] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(6935), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238823] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10050), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238837] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(6934), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238851] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(6933), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238865] = 4, + ACTIONS(10052), 1, + anon_sym_COMMA, + ACTIONS(10055), 1, + anon_sym_COLON, + STATE(5495), 1, + aux_sym_entity_name_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238879] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(6930), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238893] = 4, + ACTIONS(10057), 1, + sym_basic_identifier, + ACTIONS(10059), 1, + aux_sym_package_body_token1, + ACTIONS(10061), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238907] = 4, + ACTIONS(7699), 1, + anon_sym_COMMA, + ACTIONS(10063), 1, + anon_sym_SEMI, + STATE(5607), 1, + aux_sym_use_clause_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238921] = 4, + ACTIONS(7399), 1, + anon_sym_COMMA, + ACTIONS(10065), 1, + anon_sym_COLON, + STATE(4647), 1, + aux_sym_signal_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238935] = 4, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(10067), 1, + sym_basic_identifier, + STATE(6836), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238949] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(10069), 1, + anon_sym_SEMI, + STATE(6818), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238963] = 4, + ACTIONS(8200), 1, + anon_sym_DOT2, + ACTIONS(8202), 1, + anon_sym_LPAREN, + ACTIONS(10071), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238977] = 4, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(10073), 1, + sym_basic_identifier, + STATE(4690), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [238991] = 4, + ACTIONS(10075), 1, + anon_sym_SEMI, + ACTIONS(10077), 1, + aux_sym_block_configuration_token1, + ACTIONS(10079), 1, + aux_sym__condition_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239005] = 4, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(10081), 1, + anon_sym_SEMI, + STATE(6109), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239019] = 4, + ACTIONS(10083), 1, + anon_sym_LPAREN, + ACTIONS(10085), 1, + aux_sym__in_token1, + STATE(6824), 1, + sym_PSL_Index_Range, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239033] = 4, + ACTIONS(9868), 1, + anon_sym_COMMA, + ACTIONS(10087), 1, + anon_sym_RPAREN, + STATE(5403), 1, + aux_sym_unbounded_array_definition_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239047] = 4, + ACTIONS(10089), 1, + anon_sym_COMMA, + ACTIONS(10091), 1, + anon_sym_COLON, + STATE(5611), 1, + aux_sym_PSL_Parameters_Definition_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239061] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10093), 3, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [239071] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10095), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239085] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10093), 3, + anon_sym_COLON2, + anon_sym_SEMI2, + anon_sym_RBRACE, + [239095] = 4, + ACTIONS(10097), 1, + anon_sym_SEMI, + ACTIONS(10099), 1, + anon_sym_RPAREN, + STATE(5527), 1, + aux_sym__procedure_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239109] = 4, + ACTIONS(10101), 1, + anon_sym_RPAREN, + ACTIONS(10103), 1, + anon_sym_COMMA, + STATE(5405), 1, + aux_sym_enumeration_type_definition_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239123] = 4, + ACTIONS(10105), 1, + anon_sym_SEMI, + ACTIONS(10107), 1, + anon_sym_RPAREN, + STATE(5519), 1, + aux_sym__function_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239137] = 4, + ACTIONS(9838), 1, + anon_sym_COMMA, + ACTIONS(10109), 1, + anon_sym_RPAREN, + STATE(5409), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239151] = 4, + ACTIONS(9844), 1, + anon_sym_COMMA, + ACTIONS(10111), 1, + anon_sym_RPAREN, + STATE(5408), 1, + aux_sym_record_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239165] = 4, + ACTIONS(10105), 1, + anon_sym_SEMI, + ACTIONS(10113), 1, + anon_sym_RPAREN, + STATE(5519), 1, + aux_sym__function_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239179] = 4, + ACTIONS(9927), 1, + sym_basic_identifier, + ACTIONS(9929), 1, + sym_extended_identifier, + STATE(6798), 1, + sym_parameter_specification, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239193] = 4, + ACTIONS(10115), 1, + anon_sym_SEMI, + ACTIONS(10118), 1, + anon_sym_RPAREN, + STATE(5519), 1, + aux_sym__function_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239207] = 3, + ACTIONS(10120), 1, + anon_sym_COMMA, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [239219] = 4, + ACTIONS(10097), 1, + anon_sym_SEMI, + ACTIONS(10122), 1, + anon_sym_RPAREN, + STATE(5527), 1, + aux_sym__procedure_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239233] = 3, + ACTIONS(10124), 1, + anon_sym_AT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6887), 2, + anon_sym_RPAREN, + anon_sym_LBRACK, + [239245] = 4, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(10126), 1, + sym_basic_identifier, + STATE(5723), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239259] = 4, + ACTIONS(9958), 1, + anon_sym_COMMA, + ACTIONS(10128), 1, + anon_sym_SEMI, + STATE(5641), 1, + aux_sym_logical_name_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239273] = 4, + ACTIONS(7699), 1, + anon_sym_COMMA, + ACTIONS(10130), 1, + anon_sym_SEMI, + STATE(5607), 1, + aux_sym_use_clause_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239287] = 4, + ACTIONS(10097), 1, + anon_sym_SEMI, + ACTIONS(10132), 1, + anon_sym_RPAREN, + STATE(5527), 1, + aux_sym__procedure_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239301] = 4, + ACTIONS(10134), 1, + anon_sym_SEMI, + ACTIONS(10137), 1, + anon_sym_RPAREN, + STATE(5527), 1, + aux_sym__procedure_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239315] = 3, + ACTIONS(10139), 1, + anon_sym_COMMA, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [239327] = 3, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7737), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239339] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10141), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239349] = 4, + ACTIONS(10143), 1, + anon_sym_RPAREN, + ACTIONS(10145), 1, + anon_sym_COMMA, + STATE(5645), 1, + aux_sym_association_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239363] = 4, + ACTIONS(9302), 1, + aux_sym__when_clause_token1, + ACTIONS(10147), 1, + anon_sym_COMMA, + STATE(5681), 1, + aux_sym_waveforms_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239377] = 3, + ACTIONS(10149), 1, + anon_sym_COMMA, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [239389] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10151), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239399] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10153), 3, + anon_sym_SEMI, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [239409] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10155), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239423] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9302), 3, + anon_sym_SEMI, + aux_sym__when_clause_token1, + aux_sym_alternative_conditional_waveforms_token1, + [239433] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10157), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239443] = 4, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(6909), 1, + anon_sym_SEMI, + STATE(6693), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239457] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10159), 1, + anon_sym_SEMI, + STATE(6692), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239471] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10161), 1, + anon_sym_SEMI, + STATE(6689), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239485] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10163), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239495] = 4, + ACTIONS(75), 1, + aux_sym__report_token1, + ACTIONS(6885), 1, + anon_sym_SEMI, + STATE(6679), 1, + sym__report, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239509] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(10165), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239523] = 4, + ACTIONS(10167), 1, + anon_sym_RPAREN, + ACTIONS(10169), 1, + anon_sym_COMMA, + STATE(5545), 1, + aux_sym__element_association_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239537] = 3, + ACTIONS(10172), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [239549] = 3, + STATE(7259), 1, + sym_PSL_Parameter_Specification, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9511), 2, + sym_basic_identifier, + sym_extended_identifier, + [239561] = 4, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(10174), 1, + sym_basic_identifier, + STATE(6816), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239575] = 4, + ACTIONS(9844), 1, + anon_sym_COMMA, + ACTIONS(10176), 1, + anon_sym_RPAREN, + STATE(5408), 1, + aux_sym_record_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239589] = 4, + ACTIONS(9838), 1, + anon_sym_COMMA, + ACTIONS(10178), 1, + anon_sym_RPAREN, + STATE(5409), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239603] = 4, + ACTIONS(10180), 1, + anon_sym_RPAREN, + ACTIONS(10182), 1, + anon_sym_COMMA, + STATE(5551), 1, + aux_sym_record_resolution_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239617] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10185), 3, + aux_sym_entity_declaration_token4, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [239627] = 4, + ACTIONS(6366), 1, + anon_sym_LPAREN, + STATE(1285), 1, + sym_aggregate, + STATE(1289), 1, + sym_parenthesized_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239641] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10187), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239655] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10189), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239669] = 4, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(10191), 1, + anon_sym_SEMI, + STATE(6708), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239683] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(10193), 1, + anon_sym_SEMI, + STATE(6209), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239697] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10195), 3, + aux_sym_entity_declaration_token4, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [239707] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10197), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239721] = 4, + ACTIONS(10199), 1, + anon_sym_COMMA, + ACTIONS(10202), 1, + anon_sym_COLON, + STATE(5560), 1, + aux_sym_instantiation_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239735] = 4, + ACTIONS(10204), 1, + anon_sym_RPAREN, + ACTIONS(10206), 1, + anon_sym_COMMA, + STATE(5675), 1, + aux_sym_record_resolution_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239749] = 4, + ACTIONS(9484), 1, + anon_sym_EQ_GT, + ACTIONS(10208), 1, + anon_sym_PIPE, + STATE(5562), 1, + aux_sym_choices_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239763] = 4, + ACTIONS(10211), 1, + anon_sym_RPAREN, + ACTIONS(10213), 1, + anon_sym_COMMA, + STATE(5678), 1, + aux_sym__element_association_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239777] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8772), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239787] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8548), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239797] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8566), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239807] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8568), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239817] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9441), 3, + anon_sym_COMMA, + aux_sym_return_token1, + anon_sym_RBRACK, + [239827] = 4, + ACTIONS(10215), 1, + anon_sym_RPAREN, + ACTIONS(10217), 1, + anon_sym_COMMA, + STATE(5569), 1, + aux_sym_expression_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239841] = 4, + ACTIONS(10220), 1, + anon_sym_RPAREN, + ACTIONS(10222), 1, + anon_sym_COMMA, + STATE(5570), 1, + aux_sym_association_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239855] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(6602), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239869] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(6601), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239883] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(6600), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239897] = 4, + ACTIONS(6662), 1, + sym_extended_identifier, + ACTIONS(9719), 1, + sym_basic_identifier, + STATE(6599), 1, + sym_identifier_list, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239911] = 3, + ACTIONS(10225), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10227), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239923] = 3, + ACTIONS(10229), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10231), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239935] = 4, + ACTIONS(10097), 1, + anon_sym_SEMI, + ACTIONS(10233), 1, + anon_sym_RPAREN, + STATE(5687), 1, + aux_sym__procedure_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239949] = 4, + ACTIONS(10097), 1, + anon_sym_SEMI, + ACTIONS(10235), 1, + anon_sym_RPAREN, + STATE(5512), 1, + aux_sym__procedure_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [239963] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10237), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239973] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8658), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239983] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10239), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [239993] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8664), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [240003] = 4, + ACTIONS(10105), 1, + anon_sym_SEMI, + ACTIONS(10241), 1, + anon_sym_RPAREN, + STATE(5663), 1, + aux_sym__function_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240017] = 4, + ACTIONS(10105), 1, + anon_sym_SEMI, + ACTIONS(10243), 1, + anon_sym_RPAREN, + STATE(5662), 1, + aux_sym__function_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240031] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10245), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [240041] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8668), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [240051] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10247), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [240061] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8670), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [240071] = 3, + ACTIONS(10249), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [240083] = 3, + ACTIONS(10251), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [240095] = 4, + ACTIONS(9769), 1, + anon_sym_SEMI, + ACTIONS(10253), 1, + anon_sym_RPAREN, + STATE(5432), 1, + aux_sym__port_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240109] = 4, + ACTIONS(9769), 1, + anon_sym_SEMI, + ACTIONS(10255), 1, + anon_sym_RPAREN, + STATE(5432), 1, + aux_sym__port_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240123] = 4, + ACTIONS(10257), 1, + anon_sym_COMMA, + ACTIONS(10260), 1, + anon_sym_COLON, + STATE(5593), 1, + aux_sym_identifier_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240137] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + ACTIONS(10262), 1, + anon_sym_SEMI, + STATE(6571), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240151] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + ACTIONS(10264), 1, + anon_sym_SEMI, + STATE(6565), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240165] = 4, + ACTIONS(1999), 1, + anon_sym_LBRACK, + ACTIONS(10266), 1, + anon_sym_SEMI, + STATE(6562), 1, + sym_signature, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240179] = 4, + ACTIONS(10268), 1, + anon_sym_COMMA, + ACTIONS(10270), 1, + anon_sym_COLON, + STATE(5649), 1, + aux_sym_entity_name_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240193] = 4, + ACTIONS(9763), 1, + anon_sym_SEMI, + ACTIONS(10272), 1, + anon_sym_RPAREN, + STATE(5452), 1, + aux_sym__generic_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240207] = 4, + ACTIONS(9763), 1, + anon_sym_SEMI, + ACTIONS(10274), 1, + anon_sym_RPAREN, + STATE(5452), 1, + aux_sym__generic_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240221] = 4, + ACTIONS(10276), 1, + anon_sym_SEMI, + ACTIONS(10278), 1, + anon_sym_RPAREN, + STATE(5647), 1, + aux_sym_PSL_Formal_Parameter_List_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240235] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10280), 3, + aux_sym_entity_declaration_token4, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [240245] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(6883), 1, + anon_sym_LPAREN, + STATE(6237), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240259] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(10282), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240273] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(5438), 1, + anon_sym_LPAREN, + STATE(6292), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240287] = 4, + ACTIONS(8200), 1, + anon_sym_DOT2, + ACTIONS(8202), 1, + anon_sym_LPAREN, + ACTIONS(10284), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240301] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(10286), 1, + anon_sym_SEMI, + STATE(6532), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240315] = 4, + ACTIONS(7843), 1, + anon_sym_SEMI, + ACTIONS(10288), 1, + anon_sym_COMMA, + STATE(5607), 1, + aux_sym_use_clause_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240329] = 3, + ACTIONS(10291), 1, + anon_sym_AMP, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10293), 2, + anon_sym_PIPE2, + anon_sym_AMP_AMP, + [240341] = 4, + ACTIONS(10295), 1, + anon_sym_RPAREN, + ACTIONS(10297), 1, + anon_sym_COMMA, + STATE(5464), 1, + aux_sym_PSL_Actual_Parameter_List_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240355] = 3, + STATE(5726), 1, + sym_PSL_Parameter_Specification, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9511), 2, + sym_basic_identifier, + sym_extended_identifier, + [240367] = 4, + ACTIONS(10089), 1, + anon_sym_COMMA, + ACTIONS(10299), 1, + anon_sym_COLON, + STATE(5636), 1, + aux_sym_PSL_Parameters_Definition_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240381] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10301), 3, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_COLON, + [240391] = 4, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(10303), 1, + anon_sym_RPAREN, + STATE(5479), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240405] = 4, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(8774), 1, + anon_sym_RPAREN, + STATE(5613), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240419] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10305), 3, + anon_sym_RPAREN, + anon_sym_COMMA, + anon_sym_COLON, + [240429] = 3, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6903), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [240441] = 4, + ACTIONS(4574), 1, + anon_sym_COMMA, + ACTIONS(10307), 1, + anon_sym_RPAREN, + STATE(5479), 1, + aux_sym_PSL_Built_In_Function_Call_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240455] = 4, + ACTIONS(10297), 1, + anon_sym_COMMA, + ACTIONS(10309), 1, + anon_sym_RPAREN, + STATE(5609), 1, + aux_sym_PSL_Actual_Parameter_List_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240469] = 3, + ACTIONS(10311), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [240481] = 3, + ACTIONS(10313), 1, + sym_basic_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10315), 2, + aux_sym_entity_declaration_token4, + sym_extended_identifier, + [240493] = 3, + ACTIONS(10317), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7640), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [240505] = 3, + ACTIONS(10319), 1, + sym_basic_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10321), 2, + aux_sym_entity_declaration_token4, + sym_extended_identifier, + [240517] = 3, + ACTIONS(10323), 1, + sym_basic_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10325), 2, + aux_sym_entity_declaration_token4, + sym_extended_identifier, + [240529] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(8774), 1, + anon_sym_RPAREN, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240543] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10327), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240557] = 3, + ACTIONS(10329), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [240569] = 3, + ACTIONS(10331), 1, + sym_basic_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10333), 2, + aux_sym_entity_declaration_token4, + sym_extended_identifier, + [240581] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10335), 3, + aux_sym_entity_declaration_token4, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [240591] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10337), 3, + aux_sym_entity_declaration_token4, + aux_sym_alternative_conditional_waveforms_token1, + aux_sym_elsif_token1, + [240601] = 4, + ACTIONS(10027), 1, + anon_sym_COMMA, + ACTIONS(10339), 1, + anon_sym_RBRACE, + STATE(5484), 1, + aux_sym_PSL_Value_Set_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240615] = 4, + ACTIONS(9927), 1, + sym_basic_identifier, + ACTIONS(9929), 1, + sym_extended_identifier, + STATE(6492), 1, + sym_parameter_specification, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240629] = 4, + ACTIONS(9838), 1, + anon_sym_COMMA, + ACTIONS(10341), 1, + anon_sym_RPAREN, + STATE(5550), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240643] = 4, + ACTIONS(9763), 1, + anon_sym_SEMI, + ACTIONS(10343), 1, + anon_sym_RPAREN, + STATE(5599), 1, + aux_sym__generic_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240657] = 4, + ACTIONS(9763), 1, + anon_sym_SEMI, + ACTIONS(10345), 1, + anon_sym_RPAREN, + STATE(5598), 1, + aux_sym__generic_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240671] = 3, + ACTIONS(6792), 1, + aux_sym_PSL_Union_Expression_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8023), 2, + anon_sym_COMMA, + anon_sym_RBRACE, + [240683] = 4, + ACTIONS(10347), 1, + anon_sym_COMMA, + ACTIONS(10350), 1, + anon_sym_COLON, + STATE(5636), 1, + aux_sym_PSL_Parameters_Definition_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240697] = 4, + ACTIONS(9769), 1, + anon_sym_SEMI, + ACTIONS(10352), 1, + anon_sym_RPAREN, + STATE(5592), 1, + aux_sym__port_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240711] = 4, + ACTIONS(9769), 1, + anon_sym_SEMI, + ACTIONS(10354), 1, + anon_sym_RPAREN, + STATE(5591), 1, + aux_sym__port_interface_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240725] = 4, + ACTIONS(6459), 1, + sym_extended_identifier, + ACTIONS(10356), 1, + sym_basic_identifier, + STATE(5825), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240739] = 4, + ACTIONS(7713), 1, + anon_sym_SEMI, + ACTIONS(7715), 1, + anon_sym_COMMA, + STATE(5487), 1, + aux_sym_PSL_Inherit_Spec_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240753] = 4, + ACTIONS(10358), 1, + anon_sym_SEMI, + ACTIONS(10360), 1, + anon_sym_COMMA, + STATE(5641), 1, + aux_sym_logical_name_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240767] = 4, + ACTIONS(4689), 1, + sym_extended_identifier, + ACTIONS(10363), 1, + sym_basic_identifier, + STATE(5478), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240781] = 3, + ACTIONS(6879), 1, + anon_sym_LBRACK, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9421), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [240793] = 3, + ACTIONS(6873), 1, + anon_sym_LBRACK, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9421), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [240805] = 4, + ACTIONS(10145), 1, + anon_sym_COMMA, + ACTIONS(10365), 1, + anon_sym_RPAREN, + STATE(5570), 1, + aux_sym_association_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240819] = 4, + ACTIONS(1090), 1, + sym_extended_identifier, + ACTIONS(10367), 1, + sym_basic_identifier, + STATE(6320), 1, + sym__simple_name, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240833] = 4, + ACTIONS(10276), 1, + anon_sym_SEMI, + ACTIONS(10369), 1, + anon_sym_RPAREN, + STATE(5489), 1, + aux_sym_PSL_Formal_Parameter_List_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240847] = 4, + ACTIONS(10048), 1, + anon_sym_COMMA, + ACTIONS(10371), 1, + anon_sym_RPAREN, + STATE(5490), 1, + aux_sym_entity_class_entry_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240861] = 4, + ACTIONS(10268), 1, + anon_sym_COMMA, + ACTIONS(10373), 1, + anon_sym_COLON, + STATE(5495), 1, + aux_sym_entity_name_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240875] = 4, + ACTIONS(5721), 1, + anon_sym_COMMA, + ACTIONS(10375), 1, + anon_sym_RPAREN, + STATE(5569), 1, + aux_sym_expression_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240889] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10377), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240903] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + ACTIONS(10379), 1, + anon_sym_SEMI, + STATE(6344), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240917] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10381), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240931] = 4, + ACTIONS(8250), 1, + anon_sym_COLON_EQ, + ACTIONS(10383), 1, + anon_sym_SEMI, + STATE(6346), 1, + sym_default_expression, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240945] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10385), 3, + anon_sym_SEMI, + anon_sym_RPAREN, + anon_sym_COLON_EQ, + [240955] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8470), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [240965] = 4, + ACTIONS(10103), 1, + anon_sym_COMMA, + ACTIONS(10387), 1, + anon_sym_RPAREN, + STATE(5513), 1, + aux_sym_enumeration_type_definition_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [240979] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10389), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [240989] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10391), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [240999] = 4, + ACTIONS(10105), 1, + anon_sym_SEMI, + ACTIONS(10393), 1, + anon_sym_RPAREN, + STATE(5514), 1, + aux_sym__function_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241013] = 4, + ACTIONS(10105), 1, + anon_sym_SEMI, + ACTIONS(10395), 1, + anon_sym_RPAREN, + STATE(5517), 1, + aux_sym__function_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241027] = 4, + ACTIONS(10105), 1, + anon_sym_SEMI, + ACTIONS(10393), 1, + anon_sym_RPAREN, + STATE(5519), 1, + aux_sym__function_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241041] = 4, + ACTIONS(10105), 1, + anon_sym_SEMI, + ACTIONS(10395), 1, + anon_sym_RPAREN, + STATE(5519), 1, + aux_sym__function_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241055] = 4, + ACTIONS(10397), 1, + anon_sym_SEMI, + ACTIONS(10399), 1, + aux_sym_block_configuration_token1, + ACTIONS(10401), 1, + aux_sym__condition_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241069] = 4, + ACTIONS(4776), 1, + aux_sym__severity_token1, + ACTIONS(10403), 1, + anon_sym_SEMI, + STATE(6532), 1, + sym__severity, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241083] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10405), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241093] = 3, + ACTIONS(10407), 1, + anon_sym_COMMA, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7291), 2, + anon_sym_DASH_GT, + anon_sym_LT_DASH_GT, + [241105] = 4, + ACTIONS(5776), 1, + aux_sym__when_clause_token1, + ACTIONS(10409), 1, + anon_sym_SEMI, + STATE(5271), 1, + sym__when_clause, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241119] = 4, + ACTIONS(9304), 1, + aux_sym__when_clause_token1, + ACTIONS(10411), 1, + anon_sym_COMMA, + STATE(5669), 1, + aux_sym_waveforms_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241133] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10414), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241143] = 4, + ACTIONS(10097), 1, + anon_sym_SEMI, + ACTIONS(10099), 1, + anon_sym_RPAREN, + STATE(5521), 1, + aux_sym__procedure_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241157] = 4, + ACTIONS(10097), 1, + anon_sym_SEMI, + ACTIONS(10416), 1, + anon_sym_RPAREN, + STATE(5526), 1, + aux_sym__procedure_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241171] = 4, + ACTIONS(595), 1, + anon_sym_LBRACK, + ACTIONS(10418), 1, + anon_sym_SEMI, + STATE(3837), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241185] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10420), 3, + anon_sym_SEMI, + aux_sym_generic_clause_token1, + aux_sym_port_clause_token1, + [241195] = 4, + ACTIONS(10206), 1, + anon_sym_COMMA, + ACTIONS(10422), 1, + anon_sym_RPAREN, + STATE(5551), 1, + aux_sym_record_resolution_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241209] = 4, + ACTIONS(9838), 1, + anon_sym_COMMA, + ACTIONS(10424), 1, + anon_sym_RPAREN, + STATE(5550), 1, + aux_sym_index_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241223] = 4, + ACTIONS(9844), 1, + anon_sym_COMMA, + ACTIONS(10426), 1, + anon_sym_RPAREN, + STATE(5549), 1, + aux_sym_record_constraint_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241237] = 4, + ACTIONS(10213), 1, + anon_sym_COMMA, + ACTIONS(10428), 1, + anon_sym_RPAREN, + STATE(5545), 1, + aux_sym__element_association_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241251] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10430), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241261] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8626), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241271] = 4, + ACTIONS(8975), 1, + aux_sym__when_clause_token1, + ACTIONS(10147), 1, + anon_sym_COMMA, + STATE(5669), 1, + aux_sym_waveforms_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241285] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10432), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241295] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8624), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241305] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10434), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241315] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8622), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241325] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10436), 3, + aux_sym_entity_declaration_token2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241335] = 4, + ACTIONS(10097), 1, + anon_sym_SEMI, + ACTIONS(10416), 1, + anon_sym_RPAREN, + STATE(5527), 1, + aux_sym__procedure_parameter_list_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241349] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10438), 2, + sym_basic_identifier, + sym_extended_identifier, + [241358] = 3, + ACTIONS(1560), 1, + aux_sym_entity_declaration_token4, + ACTIONS(10440), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241369] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10442), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [241378] = 3, + ACTIONS(1548), 1, + aux_sym_entity_declaration_token4, + ACTIONS(10444), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241389] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10446), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241398] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10448), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241407] = 3, + ACTIONS(10450), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10452), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241418] = 3, + ACTIONS(10454), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10456), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241429] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10458), 2, + sym_basic_identifier, + sym_extended_identifier, + [241438] = 3, + ACTIONS(10460), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10462), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241449] = 3, + ACTIONS(10464), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10466), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241460] = 3, + ACTIONS(10468), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10470), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241471] = 3, + ACTIONS(10472), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10474), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241482] = 3, + ACTIONS(10476), 1, + anon_sym_COLON_EQ, + ACTIONS(10478), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241493] = 3, + ACTIONS(10480), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10482), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241504] = 3, + ACTIONS(10484), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10486), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241515] = 3, + ACTIONS(10488), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10490), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241526] = 3, + ACTIONS(10492), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10494), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241537] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10496), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [241546] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10498), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [241555] = 3, + ACTIONS(10500), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10502), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241566] = 3, + ACTIONS(10504), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10506), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241577] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10508), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + [241586] = 3, + ACTIONS(10510), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10512), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241597] = 3, + ACTIONS(10514), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10516), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241608] = 3, + ACTIONS(10518), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10520), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241619] = 3, + ACTIONS(10522), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10524), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241630] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10526), 2, + anon_sym_COMMA, + anon_sym_COLON, + [241639] = 3, + ACTIONS(10528), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10530), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241650] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10532), 2, + sym_basic_identifier, + sym_extended_identifier, + [241659] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10534), 2, + sym_basic_identifier, + sym_extended_identifier, + [241668] = 3, + ACTIONS(10536), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10538), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241679] = 3, + ACTIONS(10540), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10542), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241690] = 3, + ACTIONS(10544), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10546), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241701] = 3, + ACTIONS(10548), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10550), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241712] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10552), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [241721] = 3, + ACTIONS(10554), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10556), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241732] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10558), 2, + anon_sym_COMMA, + anon_sym_COLON, + [241741] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10350), 2, + anon_sym_COMMA, + anon_sym_COLON, + [241750] = 3, + ACTIONS(10560), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10562), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241761] = 3, + ACTIONS(10564), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10566), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241772] = 3, + ACTIONS(10568), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10570), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241783] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10572), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [241792] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(6903), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [241801] = 3, + ACTIONS(10574), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10576), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241812] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10578), 2, + aux_sym_reduction_token1, + aux_sym_reduction_token2, + [241821] = 3, + ACTIONS(767), 1, + anon_sym_LBRACK, + STATE(4655), 1, + sym_PSL_Count, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241832] = 3, + ACTIONS(10580), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10582), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241843] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10584), 2, + sym_basic_identifier, + sym_extended_identifier, + [241852] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7839), 2, + sym_basic_identifier, + sym_extended_identifier, + [241861] = 3, + ACTIONS(10586), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10588), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241872] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10590), 2, + sym_basic_identifier, + sym_extended_identifier, + [241881] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10592), 2, + sym_basic_identifier, + sym_extended_identifier, + [241890] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10594), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [241899] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10596), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [241908] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10260), 2, + anon_sym_COMMA, + anon_sym_COLON, + [241917] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10598), 2, + anon_sym_COMMA, + anon_sym_COLON, + [241926] = 3, + ACTIONS(10600), 1, + anon_sym_SEMI, + ACTIONS(10602), 1, + aux_sym_physical_type_definition_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241937] = 3, + ACTIONS(10604), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10606), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241948] = 3, + ACTIONS(10608), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10610), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241959] = 3, + ACTIONS(10612), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10614), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241970] = 3, + ACTIONS(10616), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10618), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [241981] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10220), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [241990] = 3, + ACTIONS(10620), 1, + anon_sym_LPAREN, + STATE(6578), 1, + sym_index_constraint, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242001] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10622), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242010] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10624), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242019] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10626), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242028] = 3, + ACTIONS(10628), 1, + sym_basic_identifier, + ACTIONS(10630), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242039] = 3, + ACTIONS(10632), 1, + sym_basic_identifier, + ACTIONS(10634), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242050] = 3, + ACTIONS(10636), 1, + anon_sym_COLON_EQ, + ACTIONS(10638), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242061] = 3, + ACTIONS(10640), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10642), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242072] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10644), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + [242081] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10202), 2, + anon_sym_COMMA, + anon_sym_COLON, + [242090] = 3, + ACTIONS(10646), 1, + anon_sym_COLON_EQ, + ACTIONS(10648), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242101] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10650), 2, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + [242110] = 3, + ACTIONS(10652), 1, + anon_sym_COLON_EQ, + ACTIONS(10654), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242121] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10656), 2, + aux_sym_loop_statement_token1, + aux_sym_for_generate_statement_token1, + [242130] = 3, + ACTIONS(1558), 1, + aux_sym_entity_declaration_token4, + ACTIONS(10658), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242141] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10180), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242150] = 3, + ACTIONS(10660), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10662), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242161] = 3, + ACTIONS(1566), 1, + aux_sym_entity_declaration_token4, + ACTIONS(10664), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242172] = 3, + ACTIONS(10666), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10668), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242183] = 3, + ACTIONS(4881), 1, + aux_sym_architecture_body_token2, + ACTIONS(10670), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242194] = 3, + ACTIONS(1936), 1, + aux_sym_architecture_body_token2, + ACTIONS(10672), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242205] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10674), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242214] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10167), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242223] = 3, + ACTIONS(10676), 1, + anon_sym_LPAREN, + ACTIONS(10678), 1, + aux_sym_generic_map_aspect_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242234] = 3, + ACTIONS(10680), 1, + anon_sym_LPAREN, + ACTIONS(10682), 1, + aux_sym_generic_map_aspect_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242245] = 3, + ACTIONS(10684), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10686), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242256] = 3, + ACTIONS(10688), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10690), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242267] = 3, + ACTIONS(10692), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10694), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242278] = 3, + ACTIONS(10696), 1, + anon_sym_COLON_EQ, + ACTIONS(10698), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242289] = 3, + ACTIONS(10700), 1, + aux_sym_loop_statement_token1, + ACTIONS(10702), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242300] = 3, + ACTIONS(10704), 1, + anon_sym_COLON, + ACTIONS(10706), 1, + anon_sym_DOT2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242311] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10708), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242320] = 3, + ACTIONS(5687), 1, + aux_sym__after_token1, + STATE(7255), 1, + sym__after, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242331] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7737), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242340] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10710), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242349] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10712), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242358] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10714), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242367] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10716), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242376] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10719), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242385] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10721), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242394] = 3, + ACTIONS(10723), 1, + anon_sym_COLON_EQ, + ACTIONS(10725), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242405] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10727), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + [242414] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10729), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242423] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10732), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242432] = 3, + ACTIONS(10734), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10736), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242443] = 3, + ACTIONS(10738), 1, + anon_sym_COLON_EQ, + ACTIONS(10740), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242454] = 3, + ACTIONS(10742), 1, + anon_sym_COLON_EQ, + ACTIONS(10744), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242465] = 3, + ACTIONS(1176), 1, + anon_sym_LT_GT, + STATE(5831), 1, + sym__any, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242476] = 3, + ACTIONS(10746), 1, + sym_basic_identifier, + ACTIONS(10748), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242487] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10750), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + [242496] = 3, + ACTIONS(10752), 1, + anon_sym_COLON_EQ, + ACTIONS(10754), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242507] = 3, + ACTIONS(5585), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242518] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10756), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + [242527] = 3, + ACTIONS(5213), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242538] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10055), 2, + anon_sym_COMMA, + anon_sym_COLON, + [242547] = 3, + ACTIONS(5019), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242558] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10758), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [242567] = 3, + ACTIONS(4654), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242578] = 3, + ACTIONS(5025), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242589] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10760), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242598] = 3, + ACTIONS(10762), 1, + sym_basic_identifier, + ACTIONS(10764), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242609] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9431), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242618] = 3, + ACTIONS(4715), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242629] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10766), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242638] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10768), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242647] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10770), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242656] = 3, + ACTIONS(5291), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242667] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10772), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242676] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10044), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242685] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10774), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + [242694] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10776), 2, + sym_basic_identifier, + sym_extended_identifier, + [242703] = 3, + ACTIONS(4975), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242714] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10774), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + [242723] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10778), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242732] = 3, + ACTIONS(10780), 1, + anon_sym_DOT2, + STATE(5901), 1, + aux_sym_package_pathname_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242743] = 3, + ACTIONS(6734), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242754] = 3, + ACTIONS(10782), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10784), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242765] = 3, + ACTIONS(4903), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242776] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10786), 2, + anon_sym_COMMA, + anon_sym_COLON, + [242785] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10788), 2, + sym_basic_identifier, + sym_extended_identifier, + [242794] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10790), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242803] = 3, + ACTIONS(4625), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242814] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10774), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + [242823] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9224), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242832] = 3, + ACTIONS(10792), 1, + sym_basic_identifier, + ACTIONS(10794), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242843] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10756), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + [242852] = 3, + ACTIONS(5169), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242863] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9997), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [242872] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10756), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + [242881] = 3, + ACTIONS(10796), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10798), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242892] = 3, + ACTIONS(4802), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242903] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10800), 2, + aux_sym_entity_declaration_token4, + aux_sym_block_configuration_token1, + [242912] = 3, + ACTIONS(10802), 1, + sym_basic_identifier, + ACTIONS(10804), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242923] = 3, + ACTIONS(10806), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10808), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242934] = 3, + ACTIONS(10810), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10812), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242945] = 3, + ACTIONS(10814), 1, + sym_basic_identifier, + ACTIONS(10816), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242956] = 3, + ACTIONS(4644), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242967] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10818), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242976] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10821), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [242985] = 3, + ACTIONS(2109), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [242996] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10823), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [243005] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10825), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [243014] = 3, + ACTIONS(10828), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10830), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243025] = 3, + ACTIONS(10832), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10834), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243036] = 3, + ACTIONS(10836), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10838), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243047] = 3, + ACTIONS(10840), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10842), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243058] = 3, + ACTIONS(10844), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10846), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243069] = 3, + ACTIONS(10848), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10850), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243080] = 3, + ACTIONS(10852), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10854), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243091] = 3, + ACTIONS(10856), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10858), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243102] = 3, + ACTIONS(10860), 1, + sym_basic_identifier, + ACTIONS(10862), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243113] = 3, + ACTIONS(10864), 1, + anon_sym_COLON_EQ, + ACTIONS(10866), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243124] = 3, + ACTIONS(10868), 1, + anon_sym_COLON_EQ, + ACTIONS(10870), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243135] = 3, + ACTIONS(10872), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10874), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243146] = 3, + ACTIONS(10876), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10878), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243157] = 3, + ACTIONS(10880), 1, + sym_basic_identifier, + ACTIONS(10882), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243168] = 3, + ACTIONS(10884), 1, + anon_sym_LPAREN, + ACTIONS(10886), 1, + aux_sym_generic_map_aspect_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243179] = 3, + ACTIONS(10888), 1, + anon_sym_LPAREN, + ACTIONS(10890), 1, + aux_sym_generic_map_aspect_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243190] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10892), 2, + anon_sym_SEMI, + aux_sym__when_clause_token1, + [243199] = 3, + ACTIONS(5687), 1, + aux_sym__after_token1, + STATE(7116), 1, + sym__after, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243210] = 3, + ACTIONS(10894), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10896), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243221] = 3, + ACTIONS(2194), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243232] = 3, + ACTIONS(10898), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10900), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243243] = 3, + ACTIONS(10902), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10904), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243254] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9890), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [243263] = 3, + ACTIONS(10906), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10908), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243274] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9885), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [243283] = 3, + ACTIONS(1936), 1, + aux_sym_architecture_body_token2, + ACTIONS(10910), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243294] = 3, + ACTIONS(4881), 1, + aux_sym_architecture_body_token2, + ACTIONS(10912), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243305] = 3, + ACTIONS(10721), 1, + anon_sym_COMMA, + ACTIONS(10914), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243316] = 3, + ACTIONS(10917), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10919), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243327] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10921), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [243336] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10925), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [243345] = 3, + ACTIONS(10927), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10929), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243356] = 3, + ACTIONS(10931), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10933), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243367] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10935), 2, + aux_sym_entity_declaration_token2, + anon_sym_COLON, + [243376] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10937), 2, + aux_sym_entity_declaration_token2, + anon_sym_COLON, + [243385] = 3, + ACTIONS(10939), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10941), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243396] = 3, + ACTIONS(10943), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10945), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243407] = 3, + ACTIONS(10947), 1, + anon_sym_RPAREN, + ACTIONS(10949), 1, + anon_sym_COMMA, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243418] = 3, + ACTIONS(10951), 1, + sym_basic_identifier, + ACTIONS(10953), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243429] = 3, + ACTIONS(10700), 1, + aux_sym_loop_statement_token1, + ACTIONS(10955), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243440] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9817), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [243449] = 3, + ACTIONS(6632), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243460] = 3, + ACTIONS(10957), 1, + anon_sym_COLON_EQ, + ACTIONS(10959), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243471] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7544), 2, + anon_sym_COLON_EQ, + anon_sym_LT_EQ2, + [243480] = 3, + ACTIONS(10961), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10963), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243491] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7831), 2, + anon_sym_SEMI, + anon_sym_COMMA, + [243500] = 3, + ACTIONS(10965), 1, + aux_sym_entity_declaration_token2, + ACTIONS(10967), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243511] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10969), 2, + sym_basic_identifier, + sym_extended_identifier, + [243520] = 3, + ACTIONS(10971), 1, + anon_sym_DOT2, + STATE(5924), 1, + aux_sym_package_pathname_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243531] = 3, + ACTIONS(10973), 1, + aux_sym_assertion_statement_token1, + ACTIONS(10975), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243542] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9786), 2, + anon_sym_COMMA, + anon_sym_RBRACE, + [243551] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10977), 2, + sym_basic_identifier, + sym_extended_identifier, + [243560] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10979), 2, + sym_basic_identifier, + sym_extended_identifier, + [243569] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10981), 2, + sym_basic_identifier, + sym_extended_identifier, + [243578] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10983), 2, + aux_sym_entity_declaration_token4, + aux_sym__when_clause_token1, + [243587] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(415), 2, + aux_sym_entity_declaration_token4, + aux_sym__when_clause_token1, + [243596] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(10985), 2, + sym_basic_identifier, + sym_extended_identifier, + [243605] = 3, + ACTIONS(10987), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10989), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243616] = 3, + ACTIONS(10991), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10993), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243627] = 3, + ACTIONS(2129), 1, + anon_sym_DOT, + ACTIONS(8107), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243638] = 3, + ACTIONS(10995), 1, + aux_sym__procedure_specification_token3, + ACTIONS(10997), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243649] = 3, + ACTIONS(10999), 1, + aux_sym__procedure_specification_token3, + ACTIONS(11001), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243660] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(11003), 2, + anon_sym_SEMI, + aux_sym_alternative_conditional_waveforms_token1, + [243669] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(11005), 2, + anon_sym_SEMI, + aux_sym_alternative_conditional_waveforms_token1, + [243678] = 3, + ACTIONS(11007), 1, + sym_basic_identifier, + ACTIONS(11009), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243689] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9549), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [243698] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9547), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [243707] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(8254), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [243716] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9539), 2, + anon_sym_SEMI, + anon_sym_RPAREN, + [243725] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(9725), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [243734] = 3, + ACTIONS(11011), 1, + aux_sym__procedure_specification_token3, + ACTIONS(11013), 1, + aux_sym__function_specification_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243745] = 3, + ACTIONS(11015), 1, + anon_sym_DOT2, + STATE(5924), 1, + aux_sym_package_pathname_repeat1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243756] = 3, + ACTIONS(11018), 1, + sym_basic_identifier, + ACTIONS(11020), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243767] = 3, + ACTIONS(10706), 1, + anon_sym_DOT2, + ACTIONS(11022), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243778] = 3, + ACTIONS(11024), 1, + sym_basic_identifier, + ACTIONS(11026), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243789] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(7773), 2, + anon_sym_RPAREN, + anon_sym_COMMA, + [243798] = 3, + ACTIONS(11028), 1, + sym_basic_identifier, + ACTIONS(11030), 1, + sym_extended_identifier, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243809] = 2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + ACTIONS(11032), 2, + aux_sym_entity_declaration_token4, + aux_sym__when_clause_token1, + [243818] = 3, + ACTIONS(11034), 1, + aux_sym_assertion_statement_token1, + ACTIONS(11036), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243829] = 2, + ACTIONS(11038), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243837] = 2, + ACTIONS(8450), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243845] = 2, + ACTIONS(11040), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243853] = 2, + ACTIONS(11042), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243861] = 2, + ACTIONS(11044), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243869] = 2, + ACTIONS(11046), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243877] = 2, + ACTIONS(11048), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243885] = 2, + ACTIONS(11050), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243893] = 2, + ACTIONS(11052), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243901] = 2, + ACTIONS(11054), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243909] = 2, + ACTIONS(11056), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243917] = 2, + ACTIONS(11058), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243925] = 2, + ACTIONS(11060), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243933] = 2, + ACTIONS(11062), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243941] = 2, + ACTIONS(11064), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243949] = 2, + ACTIONS(11066), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243957] = 2, + ACTIONS(11068), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243965] = 2, + ACTIONS(11070), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243973] = 2, + ACTIONS(11072), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243981] = 2, + ACTIONS(11074), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243989] = 2, + ACTIONS(11076), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [243997] = 2, + ACTIONS(11078), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244005] = 2, + ACTIONS(11080), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244013] = 2, + ACTIONS(11082), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244021] = 2, + ACTIONS(11084), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244029] = 2, + ACTIONS(11086), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244037] = 2, + ACTIONS(11088), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244045] = 2, + ACTIONS(11090), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244053] = 2, + ACTIONS(11092), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244061] = 2, + ACTIONS(11094), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244069] = 2, + ACTIONS(11096), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244077] = 2, + ACTIONS(11098), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244085] = 2, + ACTIONS(11100), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244093] = 2, + ACTIONS(11102), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244101] = 2, + ACTIONS(11104), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244109] = 2, + ACTIONS(11106), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244117] = 2, + ACTIONS(996), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244125] = 2, + ACTIONS(11036), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244133] = 2, + ACTIONS(11108), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244141] = 2, + ACTIONS(11110), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244149] = 2, + ACTIONS(11112), 1, + aux_sym_physical_type_definition_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244157] = 2, + ACTIONS(11114), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244165] = 2, + ACTIONS(11116), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244173] = 2, + ACTIONS(11118), 1, + aux_sym_package_body_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244181] = 2, + ACTIONS(11120), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244189] = 2, + ACTIONS(11122), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244197] = 2, + ACTIONS(11124), 1, + aux_sym_range_constraint_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244205] = 2, + ACTIONS(11126), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244213] = 2, + ACTIONS(11128), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244221] = 2, + ACTIONS(11130), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244229] = 2, + ACTIONS(11132), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244237] = 2, + ACTIONS(11134), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244245] = 2, + ACTIONS(11136), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244253] = 2, + ACTIONS(11138), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244261] = 2, + ACTIONS(11140), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244269] = 2, + ACTIONS(11142), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244277] = 2, + ACTIONS(11144), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244285] = 2, + ACTIONS(11146), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244293] = 2, + ACTIONS(11148), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244301] = 2, + ACTIONS(11150), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244309] = 2, + ACTIONS(11152), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244317] = 2, + ACTIONS(11154), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244325] = 2, + ACTIONS(11156), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244333] = 2, + ACTIONS(11158), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244341] = 2, + ACTIONS(11160), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244349] = 2, + ACTIONS(11162), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244357] = 2, + ACTIONS(11164), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244365] = 2, + ACTIONS(11166), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244373] = 2, + ACTIONS(11168), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244381] = 2, + ACTIONS(11170), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244389] = 2, + ACTIONS(11172), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244397] = 2, + ACTIONS(11174), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244405] = 2, + ACTIONS(11176), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244413] = 2, + ACTIONS(11178), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244421] = 2, + ACTIONS(11180), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244429] = 2, + ACTIONS(11182), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244437] = 2, + ACTIONS(11184), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244445] = 2, + ACTIONS(11186), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244453] = 2, + ACTIONS(11188), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244461] = 2, + ACTIONS(11190), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244469] = 2, + ACTIONS(11192), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244477] = 2, + ACTIONS(11194), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244485] = 2, + ACTIONS(11196), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244493] = 2, + ACTIONS(11198), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244501] = 2, + ACTIONS(11200), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244509] = 2, + ACTIONS(11202), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244517] = 2, + ACTIONS(11204), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244525] = 2, + ACTIONS(11206), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244533] = 2, + ACTIONS(11208), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244541] = 2, + ACTIONS(11210), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244549] = 2, + ACTIONS(11212), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244557] = 2, + ACTIONS(11214), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244565] = 2, + ACTIONS(11216), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244573] = 2, + ACTIONS(11218), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244581] = 2, + ACTIONS(11220), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244589] = 2, + ACTIONS(11222), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244597] = 2, + ACTIONS(11224), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244605] = 2, + ACTIONS(11226), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244613] = 2, + ACTIONS(11228), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244621] = 2, + ACTIONS(11230), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244629] = 2, + ACTIONS(11232), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244637] = 2, + ACTIONS(11234), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244645] = 2, + ACTIONS(11236), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244653] = 2, + ACTIONS(11238), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244661] = 2, + ACTIONS(11240), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244669] = 2, + ACTIONS(11242), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244677] = 2, + ACTIONS(11244), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244685] = 2, + ACTIONS(11246), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244693] = 2, + ACTIONS(11248), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244701] = 2, + ACTIONS(11250), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244709] = 2, + ACTIONS(11252), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244717] = 2, + ACTIONS(11254), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244725] = 2, + ACTIONS(11256), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244733] = 2, + ACTIONS(11258), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244741] = 2, + ACTIONS(11260), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244749] = 2, + ACTIONS(11262), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244757] = 2, + ACTIONS(11264), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244765] = 2, + ACTIONS(11266), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244773] = 2, + ACTIONS(11268), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244781] = 2, + ACTIONS(11270), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244789] = 2, + ACTIONS(11272), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244797] = 2, + ACTIONS(11274), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244805] = 2, + ACTIONS(11276), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244813] = 2, + ACTIONS(11278), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244821] = 2, + ACTIONS(11280), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244829] = 2, + ACTIONS(11282), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244837] = 2, + ACTIONS(11284), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244845] = 2, + ACTIONS(11286), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244853] = 2, + ACTIONS(11288), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244861] = 2, + ACTIONS(11290), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244869] = 2, + ACTIONS(11292), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244877] = 2, + ACTIONS(11294), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244885] = 2, + ACTIONS(11296), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244893] = 2, + ACTIONS(11298), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244901] = 2, + ACTIONS(11300), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244909] = 2, + ACTIONS(11302), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244917] = 2, + ACTIONS(11304), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244925] = 2, + ACTIONS(11306), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244933] = 2, + ACTIONS(11308), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244941] = 2, + ACTIONS(11310), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244949] = 2, + ACTIONS(11312), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244957] = 2, + ACTIONS(11314), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244965] = 2, + ACTIONS(11316), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244973] = 2, + ACTIONS(11318), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244981] = 2, + ACTIONS(11320), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244989] = 2, + ACTIONS(11322), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [244997] = 2, + ACTIONS(11324), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245005] = 2, + ACTIONS(11326), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245013] = 2, + ACTIONS(11328), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245021] = 2, + ACTIONS(11330), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245029] = 2, + ACTIONS(11332), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245037] = 2, + ACTIONS(11334), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245045] = 2, + ACTIONS(11336), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245053] = 2, + ACTIONS(11338), 1, + aux_sym_package_body_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245061] = 2, + ACTIONS(11340), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245069] = 2, + ACTIONS(11342), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245077] = 2, + ACTIONS(11344), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245085] = 2, + ACTIONS(11346), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245093] = 2, + ACTIONS(978), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245101] = 2, + ACTIONS(1710), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245109] = 2, + ACTIONS(11348), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245117] = 2, + ACTIONS(10975), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245125] = 2, + ACTIONS(11350), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245133] = 2, + ACTIONS(11352), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245141] = 2, + ACTIONS(11354), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245149] = 2, + ACTIONS(11356), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245157] = 2, + ACTIONS(11358), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245165] = 2, + ACTIONS(11360), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245173] = 2, + ACTIONS(11362), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245181] = 2, + ACTIONS(7047), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245189] = 2, + ACTIONS(11364), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245197] = 2, + ACTIONS(11366), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245205] = 2, + ACTIONS(11368), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245213] = 2, + ACTIONS(11370), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245221] = 2, + ACTIONS(11372), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245229] = 2, + ACTIONS(11374), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245237] = 2, + ACTIONS(11376), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245245] = 2, + ACTIONS(11378), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245253] = 2, + ACTIONS(11380), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245261] = 2, + ACTIONS(11382), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245269] = 2, + ACTIONS(11384), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245277] = 2, + ACTIONS(11386), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245285] = 2, + ACTIONS(11388), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245293] = 2, + ACTIONS(11390), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245301] = 2, + ACTIONS(11392), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245309] = 2, + ACTIONS(11394), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245317] = 2, + ACTIONS(11396), 1, + anon_sym_EQ, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245325] = 2, + ACTIONS(11398), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245333] = 2, + ACTIONS(11400), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245341] = 2, + ACTIONS(11402), 1, + anon_sym_EQ, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245349] = 2, + ACTIONS(11404), 1, + aux_sym_physical_type_definition_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245357] = 2, + ACTIONS(11406), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245365] = 2, + ACTIONS(11408), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245373] = 2, + ACTIONS(11410), 1, + aux_sym_protected_type_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245381] = 2, + ACTIONS(11412), 1, + aux_sym_package_body_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245389] = 2, + ACTIONS(11414), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245397] = 2, + ACTIONS(11416), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245405] = 2, + ACTIONS(11418), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245413] = 2, + ACTIONS(11420), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245421] = 2, + ACTIONS(11422), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245429] = 2, + ACTIONS(11424), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245437] = 2, + ACTIONS(11426), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245445] = 2, + ACTIONS(11428), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245453] = 2, + ACTIONS(11430), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245461] = 2, + ACTIONS(11432), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245469] = 2, + ACTIONS(11434), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245477] = 2, + ACTIONS(11436), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245485] = 2, + ACTIONS(11438), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245493] = 2, + ACTIONS(11440), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245501] = 2, + ACTIONS(11442), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245509] = 2, + ACTIONS(11444), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245517] = 2, + ACTIONS(11446), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245525] = 2, + ACTIONS(11448), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245533] = 2, + ACTIONS(11450), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245541] = 2, + ACTIONS(11452), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245549] = 2, + ACTIONS(11454), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245557] = 2, + ACTIONS(11456), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245565] = 2, + ACTIONS(11458), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245573] = 2, + ACTIONS(11460), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245581] = 2, + ACTIONS(11462), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245589] = 2, + ACTIONS(11464), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245597] = 2, + ACTIONS(11466), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245605] = 2, + ACTIONS(11468), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245613] = 2, + ACTIONS(11470), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245621] = 2, + ACTIONS(11472), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245629] = 2, + ACTIONS(11474), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245637] = 2, + ACTIONS(11476), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245645] = 2, + ACTIONS(11478), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245653] = 2, + ACTIONS(11480), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245661] = 2, + ACTIONS(11482), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245669] = 2, + ACTIONS(11484), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245677] = 2, + ACTIONS(11486), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245685] = 2, + ACTIONS(8456), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245693] = 2, + ACTIONS(11488), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245701] = 2, + ACTIONS(8506), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245709] = 2, + ACTIONS(11490), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245717] = 2, + ACTIONS(11492), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245725] = 2, + ACTIONS(11494), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245733] = 2, + ACTIONS(1658), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245741] = 2, + ACTIONS(11496), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245749] = 2, + ACTIONS(1662), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245757] = 2, + ACTIONS(11498), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245765] = 2, + ACTIONS(11500), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245773] = 2, + ACTIONS(11502), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245781] = 2, + ACTIONS(11504), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245789] = 2, + ACTIONS(11506), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245797] = 2, + ACTIONS(11508), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245805] = 2, + ACTIONS(11510), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245813] = 2, + ACTIONS(11512), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245821] = 2, + ACTIONS(11514), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245829] = 2, + ACTIONS(11516), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245837] = 2, + ACTIONS(11518), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245845] = 2, + ACTIONS(11520), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245853] = 2, + ACTIONS(11522), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245861] = 2, + ACTIONS(11524), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245869] = 2, + ACTIONS(11526), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245877] = 2, + ACTIONS(11528), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245885] = 2, + ACTIONS(11530), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245893] = 2, + ACTIONS(11532), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245901] = 2, + ACTIONS(11534), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245909] = 2, + ACTIONS(11536), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245917] = 2, + ACTIONS(11538), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245925] = 2, + ACTIONS(11540), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245933] = 2, + ACTIONS(1568), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245941] = 2, + ACTIONS(11542), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245949] = 2, + ACTIONS(11544), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245957] = 2, + ACTIONS(11546), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245965] = 2, + ACTIONS(11548), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245973] = 2, + ACTIONS(11550), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245981] = 2, + ACTIONS(11552), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245989] = 2, + ACTIONS(11554), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [245997] = 2, + ACTIONS(11556), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246005] = 2, + ACTIONS(11558), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246013] = 2, + ACTIONS(11560), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246021] = 2, + ACTIONS(11562), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246029] = 2, + ACTIONS(11564), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246037] = 2, + ACTIONS(11566), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246045] = 2, + ACTIONS(11568), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246053] = 2, + ACTIONS(11570), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246061] = 2, + ACTIONS(11572), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246069] = 2, + ACTIONS(11574), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246077] = 2, + ACTIONS(11576), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246085] = 2, + ACTIONS(11578), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246093] = 2, + ACTIONS(11580), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246101] = 2, + ACTIONS(11582), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246109] = 2, + ACTIONS(11584), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246117] = 2, + ACTIONS(11586), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246125] = 2, + ACTIONS(11588), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246133] = 2, + ACTIONS(11590), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246141] = 2, + ACTIONS(11592), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246149] = 2, + ACTIONS(11594), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246157] = 2, + ACTIONS(11596), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246165] = 2, + ACTIONS(11598), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246173] = 2, + ACTIONS(11600), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246181] = 2, + ACTIONS(11602), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246189] = 2, + ACTIONS(11604), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246197] = 2, + ACTIONS(11606), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246205] = 2, + ACTIONS(11608), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246213] = 2, + ACTIONS(11610), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246221] = 2, + ACTIONS(11612), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246229] = 2, + ACTIONS(11614), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246237] = 2, + ACTIONS(11616), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246245] = 2, + ACTIONS(11618), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246253] = 2, + ACTIONS(11620), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246261] = 2, + ACTIONS(11622), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246269] = 2, + ACTIONS(11624), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246277] = 2, + ACTIONS(11626), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246285] = 2, + ACTIONS(11628), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246293] = 2, + ACTIONS(11630), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246301] = 2, + ACTIONS(11632), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246309] = 2, + ACTIONS(11634), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246317] = 2, + ACTIONS(11636), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246325] = 2, + ACTIONS(11638), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246333] = 2, + ACTIONS(11640), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246341] = 2, + ACTIONS(11642), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246349] = 2, + ACTIONS(11644), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246357] = 2, + ACTIONS(11646), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246365] = 2, + ACTIONS(11648), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246373] = 2, + ACTIONS(11650), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246381] = 2, + ACTIONS(11652), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246389] = 2, + ACTIONS(11654), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246397] = 2, + ACTIONS(11656), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246405] = 2, + ACTIONS(11658), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246413] = 2, + ACTIONS(11660), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246421] = 2, + ACTIONS(11662), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246429] = 2, + ACTIONS(11664), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246437] = 2, + ACTIONS(11666), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246445] = 2, + ACTIONS(11668), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246453] = 2, + ACTIONS(11670), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246461] = 2, + ACTIONS(11672), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246469] = 2, + ACTIONS(11674), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246477] = 2, + ACTIONS(11676), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246485] = 2, + ACTIONS(11678), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246493] = 2, + ACTIONS(11680), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246501] = 2, + ACTIONS(11682), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246509] = 2, + ACTIONS(11684), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246517] = 2, + ACTIONS(11686), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246525] = 2, + ACTIONS(11688), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246533] = 2, + ACTIONS(11690), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246541] = 2, + ACTIONS(11692), 1, + anon_sym_EQ_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246549] = 2, + ACTIONS(11694), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246557] = 2, + ACTIONS(11696), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246565] = 2, + ACTIONS(11698), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246573] = 2, + ACTIONS(11700), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246581] = 2, + ACTIONS(11702), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246589] = 2, + ACTIONS(11704), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246597] = 2, + ACTIONS(11706), 1, + aux_sym__when_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246605] = 2, + ACTIONS(11708), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246613] = 2, + ACTIONS(11710), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246621] = 2, + ACTIONS(11712), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246629] = 2, + ACTIONS(11714), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246637] = 2, + ACTIONS(11716), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246645] = 2, + ACTIONS(11718), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246653] = 2, + ACTIONS(11720), 1, + anon_sym_LBRACE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246661] = 2, + ACTIONS(11722), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246669] = 2, + ACTIONS(11724), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246677] = 2, + ACTIONS(11726), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246685] = 2, + ACTIONS(11728), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246693] = 2, + ACTIONS(11730), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246701] = 2, + ACTIONS(11732), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246709] = 2, + ACTIONS(11734), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246717] = 2, + ACTIONS(11736), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246725] = 2, + ACTIONS(11738), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246733] = 2, + ACTIONS(11740), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246741] = 2, + ACTIONS(11742), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246749] = 2, + ACTIONS(11744), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246757] = 2, + ACTIONS(11746), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246765] = 2, + ACTIONS(11748), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246773] = 2, + ACTIONS(11750), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246781] = 2, + ACTIONS(11752), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246789] = 2, + ACTIONS(11754), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246797] = 2, + ACTIONS(11756), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246805] = 2, + ACTIONS(11758), 1, + aux_sym__in_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246813] = 2, + ACTIONS(11760), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246821] = 2, + ACTIONS(1369), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246829] = 2, + ACTIONS(11762), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246837] = 2, + ACTIONS(982), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246845] = 2, + ACTIONS(11764), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246853] = 2, + ACTIONS(11766), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246861] = 2, + ACTIONS(11768), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246869] = 2, + ACTIONS(11770), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246877] = 2, + ACTIONS(10784), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246885] = 2, + ACTIONS(11772), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246893] = 2, + ACTIONS(11774), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246901] = 2, + ACTIONS(11776), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246909] = 2, + ACTIONS(11778), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246917] = 2, + ACTIONS(11780), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246925] = 2, + ACTIONS(11782), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246933] = 2, + ACTIONS(11784), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246941] = 2, + ACTIONS(11786), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246949] = 2, + ACTIONS(11788), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246957] = 2, + ACTIONS(11790), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246965] = 2, + ACTIONS(11792), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246973] = 2, + ACTIONS(11794), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246981] = 2, + ACTIONS(11796), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246989] = 2, + ACTIONS(11798), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [246997] = 2, + ACTIONS(11800), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247005] = 2, + ACTIONS(11802), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247013] = 2, + ACTIONS(11804), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247021] = 2, + ACTIONS(11806), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247029] = 2, + ACTIONS(11808), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247037] = 2, + ACTIONS(11810), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247045] = 2, + ACTIONS(11812), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247053] = 2, + ACTIONS(11814), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247061] = 2, + ACTIONS(11816), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247069] = 2, + ACTIONS(11818), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247077] = 2, + ACTIONS(11820), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247085] = 2, + ACTIONS(11822), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247093] = 2, + ACTIONS(11824), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247101] = 2, + ACTIONS(11826), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247109] = 2, + ACTIONS(11828), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247117] = 2, + ACTIONS(11830), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247125] = 2, + ACTIONS(11832), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247133] = 2, + ACTIONS(11834), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247141] = 2, + ACTIONS(11836), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247149] = 2, + ACTIONS(11838), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247157] = 2, + ACTIONS(11840), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247165] = 2, + ACTIONS(11842), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247173] = 2, + ACTIONS(11844), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247181] = 2, + ACTIONS(11846), 1, + aux_sym_physical_type_definition_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247189] = 2, + ACTIONS(11848), 1, + aux_sym_protected_type_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247197] = 2, + ACTIONS(11850), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247205] = 2, + ACTIONS(11852), 1, + aux_sym_protected_type_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247213] = 2, + ACTIONS(11854), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247221] = 2, + ACTIONS(11856), 1, + aux_sym_record_type_definition_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247229] = 2, + ACTIONS(11858), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247237] = 2, + ACTIONS(11860), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247245] = 2, + ACTIONS(11862), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247253] = 2, + ACTIONS(11864), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247261] = 2, + ACTIONS(11866), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247269] = 2, + ACTIONS(11868), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247277] = 2, + ACTIONS(11870), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247285] = 2, + ACTIONS(11872), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247293] = 2, + ACTIONS(11874), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247301] = 2, + ACTIONS(11876), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247309] = 2, + ACTIONS(11878), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247317] = 2, + ACTIONS(11880), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247325] = 2, + ACTIONS(11882), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247333] = 2, + ACTIONS(11884), 1, + aux_sym_package_body_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247341] = 2, + ACTIONS(11886), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247349] = 2, + ACTIONS(11888), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247357] = 2, + ACTIONS(11890), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247365] = 2, + ACTIONS(11892), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247373] = 2, + ACTIONS(11894), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247381] = 2, + ACTIONS(11896), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247389] = 2, + ACTIONS(11898), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247397] = 2, + ACTIONS(11900), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247405] = 2, + ACTIONS(11902), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247413] = 2, + ACTIONS(4973), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247421] = 2, + ACTIONS(11904), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247429] = 2, + ACTIONS(11906), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247437] = 2, + ACTIONS(11908), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247445] = 2, + ACTIONS(11910), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247453] = 2, + ACTIONS(11912), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247461] = 2, + ACTIONS(11914), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247469] = 2, + ACTIONS(11916), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247477] = 2, + ACTIONS(11918), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247485] = 2, + ACTIONS(11920), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247493] = 2, + ACTIONS(11922), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247501] = 2, + ACTIONS(11924), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247509] = 2, + ACTIONS(11926), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247517] = 2, + ACTIONS(11928), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247525] = 2, + ACTIONS(11930), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247533] = 2, + ACTIONS(11932), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247541] = 2, + ACTIONS(11934), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247549] = 2, + ACTIONS(11936), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247557] = 2, + ACTIONS(11938), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247565] = 2, + ACTIONS(11940), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247573] = 2, + ACTIONS(11942), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247581] = 2, + ACTIONS(11944), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247589] = 2, + ACTIONS(11946), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247597] = 2, + ACTIONS(11948), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247605] = 2, + ACTIONS(11950), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247613] = 2, + ACTIONS(11952), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247621] = 2, + ACTIONS(10662), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247629] = 2, + ACTIONS(11954), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247637] = 2, + ACTIONS(11956), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247645] = 2, + ACTIONS(11958), 1, + aux_sym_if_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247653] = 2, + ACTIONS(11960), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247661] = 2, + ACTIONS(11962), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247669] = 2, + ACTIONS(972), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247677] = 2, + ACTIONS(11964), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247685] = 2, + ACTIONS(8748), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247693] = 2, + ACTIONS(8722), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247701] = 2, + ACTIONS(11966), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247709] = 2, + ACTIONS(11968), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247717] = 2, + ACTIONS(11970), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247725] = 2, + ACTIONS(1654), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247733] = 2, + ACTIONS(11972), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247741] = 2, + ACTIONS(11974), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247749] = 2, + ACTIONS(11976), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247757] = 2, + ACTIONS(11978), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247765] = 2, + ACTIONS(1678), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247773] = 2, + ACTIONS(11980), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247781] = 2, + ACTIONS(11982), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247789] = 2, + ACTIONS(11984), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247797] = 2, + ACTIONS(11986), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247805] = 2, + ACTIONS(11988), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247813] = 2, + ACTIONS(11990), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247821] = 2, + ACTIONS(11992), 1, + aux_sym_if_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247829] = 2, + ACTIONS(11994), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247837] = 2, + ACTIONS(11996), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247845] = 2, + ACTIONS(11998), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247853] = 2, + ACTIONS(12000), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247861] = 2, + ACTIONS(12002), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247869] = 2, + ACTIONS(12004), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247877] = 2, + ACTIONS(12006), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247885] = 2, + ACTIONS(12008), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247893] = 2, + ACTIONS(12010), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247901] = 2, + ACTIONS(12012), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247909] = 2, + ACTIONS(10193), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247917] = 2, + ACTIONS(12014), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247925] = 2, + ACTIONS(12016), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247933] = 2, + ACTIONS(12018), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247941] = 2, + ACTIONS(12020), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247949] = 2, + ACTIONS(12022), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247957] = 2, + ACTIONS(12024), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247965] = 2, + ACTIONS(12026), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247973] = 2, + ACTIONS(12028), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247981] = 2, + ACTIONS(12030), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247989] = 2, + ACTIONS(12032), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [247997] = 2, + ACTIONS(12034), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248005] = 2, + ACTIONS(12036), 1, + anon_sym_RBRACK, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248013] = 2, + ACTIONS(12038), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248021] = 2, + ACTIONS(12040), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248029] = 2, + ACTIONS(12042), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248037] = 2, + ACTIONS(12044), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248045] = 2, + ACTIONS(12046), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248053] = 2, + ACTIONS(12048), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248061] = 2, + ACTIONS(12050), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248069] = 2, + ACTIONS(12052), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248077] = 2, + ACTIONS(12054), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248085] = 2, + ACTIONS(12056), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248093] = 2, + ACTIONS(12058), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248101] = 2, + ACTIONS(12060), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248109] = 2, + ACTIONS(12062), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248117] = 2, + ACTIONS(12064), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248125] = 2, + ACTIONS(12066), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248133] = 2, + ACTIONS(12068), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248141] = 2, + ACTIONS(12070), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248149] = 2, + ACTIONS(12072), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248157] = 2, + ACTIONS(12074), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248165] = 2, + ACTIONS(12076), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248173] = 2, + ACTIONS(12078), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248181] = 2, + ACTIONS(12080), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248189] = 2, + ACTIONS(12082), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248197] = 2, + ACTIONS(1373), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248205] = 2, + ACTIONS(12084), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248213] = 2, + ACTIONS(12086), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248221] = 2, + ACTIONS(12088), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248229] = 2, + ACTIONS(12090), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248237] = 2, + ACTIONS(12092), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248245] = 2, + ACTIONS(12094), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248253] = 2, + ACTIONS(12096), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248261] = 2, + ACTIONS(12098), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248269] = 2, + ACTIONS(12100), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248277] = 2, + ACTIONS(12102), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248285] = 2, + ACTIONS(12104), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248293] = 2, + ACTIONS(12106), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248301] = 2, + ACTIONS(12108), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248309] = 2, + ACTIONS(12110), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248317] = 2, + ACTIONS(12112), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248325] = 2, + ACTIONS(1680), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248333] = 2, + ACTIONS(12114), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248341] = 2, + ACTIONS(12116), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248349] = 2, + ACTIONS(12118), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248357] = 2, + ACTIONS(12120), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248365] = 2, + ACTIONS(12122), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248373] = 2, + ACTIONS(1564), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248381] = 2, + ACTIONS(12124), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248389] = 2, + ACTIONS(12126), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248397] = 2, + ACTIONS(12128), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248405] = 2, + ACTIONS(12130), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248413] = 2, + ACTIONS(12132), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248421] = 2, + ACTIONS(12134), 1, + anon_sym_EQ_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248429] = 2, + ACTIONS(12136), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248437] = 2, + ACTIONS(12138), 1, + anon_sym_EQ_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248445] = 2, + ACTIONS(12140), 1, + aux_sym_if_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248453] = 2, + ACTIONS(12142), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248461] = 2, + ACTIONS(12144), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248469] = 2, + ACTIONS(12146), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248477] = 2, + ACTIONS(12148), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248485] = 2, + ACTIONS(12150), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248493] = 2, + ACTIONS(12152), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248501] = 2, + ACTIONS(12154), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248509] = 2, + ACTIONS(12156), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248517] = 2, + ACTIONS(12158), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248525] = 2, + ACTIONS(12160), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248533] = 2, + ACTIONS(12162), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248541] = 2, + ACTIONS(12164), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248549] = 2, + ACTIONS(12166), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248557] = 2, + ACTIONS(12168), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248565] = 2, + ACTIONS(12170), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248573] = 2, + ACTIONS(12172), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248581] = 2, + ACTIONS(12174), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248589] = 2, + ACTIONS(12176), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248597] = 2, + ACTIONS(12178), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248605] = 2, + ACTIONS(10556), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248613] = 2, + ACTIONS(946), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248621] = 2, + ACTIONS(12180), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248629] = 2, + ACTIONS(12182), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248637] = 2, + ACTIONS(12184), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248645] = 2, + ACTIONS(12186), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248653] = 2, + ACTIONS(12188), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248661] = 2, + ACTIONS(5835), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248669] = 2, + ACTIONS(12190), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248677] = 2, + ACTIONS(12192), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248685] = 2, + ACTIONS(12194), 1, + anon_sym_LBRACE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248693] = 2, + ACTIONS(12196), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248701] = 2, + ACTIONS(12198), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248709] = 2, + ACTIONS(12200), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248717] = 2, + ACTIONS(12202), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248725] = 2, + ACTIONS(12204), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248733] = 2, + ACTIONS(12206), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248741] = 2, + ACTIONS(12208), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248749] = 2, + ACTIONS(12210), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248757] = 2, + ACTIONS(12212), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248765] = 2, + ACTIONS(12214), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248773] = 2, + ACTIONS(12216), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248781] = 2, + ACTIONS(12218), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248789] = 2, + ACTIONS(12220), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248797] = 2, + ACTIONS(12222), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248805] = 2, + ACTIONS(12224), 1, + aux_sym_protected_type_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248813] = 2, + ACTIONS(12226), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248821] = 2, + ACTIONS(12228), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248829] = 2, + ACTIONS(12230), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248837] = 2, + ACTIONS(12232), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248845] = 2, + ACTIONS(12234), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248853] = 2, + ACTIONS(12236), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248861] = 2, + ACTIONS(12238), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248869] = 2, + ACTIONS(12240), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248877] = 2, + ACTIONS(12242), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248885] = 2, + ACTIONS(12244), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248893] = 2, + ACTIONS(12246), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248901] = 2, + ACTIONS(10383), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248909] = 2, + ACTIONS(12248), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248917] = 2, + ACTIONS(12250), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248925] = 2, + ACTIONS(12252), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248933] = 2, + ACTIONS(12254), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248941] = 2, + ACTIONS(12256), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248949] = 2, + ACTIONS(12258), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248957] = 2, + ACTIONS(12260), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248965] = 2, + ACTIONS(12262), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248973] = 2, + ACTIONS(12264), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248981] = 2, + ACTIONS(12266), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248989] = 2, + ACTIONS(12268), 1, + aux_sym_record_type_definition_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [248997] = 2, + ACTIONS(12270), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249005] = 2, + ACTIONS(12272), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249013] = 2, + ACTIONS(12274), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249021] = 2, + ACTIONS(12276), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249029] = 2, + ACTIONS(12278), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249037] = 2, + ACTIONS(12280), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249045] = 2, + ACTIONS(12282), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249053] = 2, + ACTIONS(12284), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249061] = 2, + ACTIONS(12286), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249069] = 2, + ACTIONS(12288), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249077] = 2, + ACTIONS(12290), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249085] = 2, + ACTIONS(12292), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249093] = 2, + ACTIONS(12294), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249101] = 2, + ACTIONS(12296), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249109] = 2, + ACTIONS(12298), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249117] = 2, + ACTIONS(12300), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249125] = 2, + ACTIONS(12302), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249133] = 2, + ACTIONS(12304), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249141] = 2, + ACTIONS(12306), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249149] = 2, + ACTIONS(12308), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249157] = 2, + ACTIONS(12310), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249165] = 2, + ACTIONS(12312), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249173] = 2, + ACTIONS(12314), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249181] = 2, + ACTIONS(12316), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249189] = 2, + ACTIONS(12318), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249197] = 2, + ACTIONS(12320), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249205] = 2, + ACTIONS(12322), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249213] = 2, + ACTIONS(12324), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249221] = 2, + ACTIONS(12326), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249229] = 2, + ACTIONS(12328), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249237] = 2, + ACTIONS(12330), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249245] = 2, + ACTIONS(12332), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249253] = 2, + ACTIONS(10512), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249261] = 2, + ACTIONS(12334), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249269] = 2, + ACTIONS(12336), 1, + aux_sym_if_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249277] = 2, + ACTIONS(12338), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249285] = 2, + ACTIONS(12340), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249293] = 2, + ACTIONS(12342), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249301] = 2, + ACTIONS(12344), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249309] = 2, + ACTIONS(12346), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249317] = 2, + ACTIONS(12348), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249325] = 2, + ACTIONS(12350), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249333] = 2, + ACTIONS(12352), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249341] = 2, + ACTIONS(12354), 1, + aux_sym_if_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249349] = 2, + ACTIONS(12356), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249357] = 2, + ACTIONS(12358), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249365] = 2, + ACTIONS(12360), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249373] = 2, + ACTIONS(12362), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249381] = 2, + ACTIONS(12364), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249389] = 2, + ACTIONS(8666), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249397] = 2, + ACTIONS(12366), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249405] = 2, + ACTIONS(12368), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249413] = 2, + ACTIONS(12370), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249421] = 2, + ACTIONS(12372), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249429] = 2, + ACTIONS(12374), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249437] = 2, + ACTIONS(12376), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249445] = 2, + ACTIONS(12378), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249453] = 2, + ACTIONS(12380), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249461] = 2, + ACTIONS(12382), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249469] = 2, + ACTIONS(12384), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249477] = 2, + ACTIONS(12386), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249485] = 2, + ACTIONS(12388), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249493] = 2, + ACTIONS(12390), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249501] = 2, + ACTIONS(12392), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249509] = 2, + ACTIONS(12394), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249517] = 2, + ACTIONS(12396), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249525] = 2, + ACTIONS(12398), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249533] = 2, + ACTIONS(12400), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249541] = 2, + ACTIONS(10456), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249549] = 2, + ACTIONS(12402), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249557] = 2, + ACTIONS(12404), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249565] = 2, + ACTIONS(12406), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249573] = 2, + ACTIONS(12408), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249581] = 2, + ACTIONS(1550), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249589] = 2, + ACTIONS(12410), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249597] = 2, + ACTIONS(12412), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249605] = 2, + ACTIONS(1562), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249613] = 2, + ACTIONS(12414), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249621] = 2, + ACTIONS(12416), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249629] = 2, + ACTIONS(12418), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249637] = 2, + ACTIONS(12420), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249645] = 2, + ACTIONS(12422), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249653] = 2, + ACTIONS(12424), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249661] = 2, + ACTIONS(12426), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249669] = 2, + ACTIONS(12428), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249677] = 2, + ACTIONS(12430), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249685] = 2, + ACTIONS(12432), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249693] = 2, + ACTIONS(12434), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249701] = 2, + ACTIONS(12436), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249709] = 2, + ACTIONS(12438), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249717] = 2, + ACTIONS(7097), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249725] = 2, + ACTIONS(12440), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249733] = 2, + ACTIONS(12442), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249741] = 2, + ACTIONS(12444), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249749] = 2, + ACTIONS(12446), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249757] = 2, + ACTIONS(12448), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249765] = 2, + ACTIONS(12450), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249773] = 2, + ACTIONS(12452), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249781] = 2, + ACTIONS(12454), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249789] = 2, + ACTIONS(12456), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249797] = 2, + ACTIONS(12458), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249805] = 2, + ACTIONS(12460), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249813] = 2, + ACTIONS(12462), 1, + aux_sym_if_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249821] = 2, + ACTIONS(12464), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249829] = 2, + ACTIONS(12466), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249837] = 2, + ACTIONS(12468), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249845] = 2, + ACTIONS(12470), 1, + aux_sym_package_body_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249853] = 2, + ACTIONS(12472), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249861] = 2, + ACTIONS(12474), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249869] = 2, + ACTIONS(12476), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249877] = 2, + ACTIONS(12478), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249885] = 2, + ACTIONS(12480), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249893] = 2, + ACTIONS(10474), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249901] = 2, + ACTIONS(12482), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249909] = 2, + ACTIONS(12484), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249917] = 2, + ACTIONS(12486), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249925] = 2, + ACTIONS(12488), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249933] = 2, + ACTIONS(12490), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249941] = 2, + ACTIONS(12492), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249949] = 2, + ACTIONS(12494), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249957] = 2, + ACTIONS(12496), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249965] = 2, + ACTIONS(12498), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249973] = 2, + ACTIONS(12500), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249981] = 2, + ACTIONS(12502), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249989] = 2, + ACTIONS(12504), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [249997] = 2, + ACTIONS(12506), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250005] = 2, + ACTIONS(12508), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250013] = 2, + ACTIONS(12510), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250021] = 2, + ACTIONS(12512), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250029] = 2, + ACTIONS(12514), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250037] = 2, + ACTIONS(12516), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250045] = 2, + ACTIONS(12518), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250053] = 2, + ACTIONS(12520), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250061] = 2, + ACTIONS(12522), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250069] = 2, + ACTIONS(12524), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250077] = 2, + ACTIONS(12526), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250085] = 2, + ACTIONS(12528), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250093] = 2, + ACTIONS(12530), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250101] = 2, + ACTIONS(12532), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250109] = 2, + ACTIONS(12534), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250117] = 2, + ACTIONS(12536), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250125] = 2, + ACTIONS(12538), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250133] = 2, + ACTIONS(12540), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250141] = 2, + ACTIONS(12542), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250149] = 2, + ACTIONS(12544), 1, + aux_sym_package_body_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250157] = 2, + ACTIONS(10524), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250165] = 2, + ACTIONS(12546), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250173] = 2, + ACTIONS(12548), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250181] = 2, + ACTIONS(12550), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250189] = 2, + ACTIONS(12552), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250197] = 2, + ACTIONS(8969), 1, + anon_sym_RBRACK, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250205] = 2, + ACTIONS(12554), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250213] = 2, + ACTIONS(12556), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250221] = 2, + ACTIONS(12558), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250229] = 2, + ACTIONS(12560), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250237] = 2, + ACTIONS(12562), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250245] = 2, + ACTIONS(12564), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250253] = 2, + ACTIONS(12566), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250261] = 2, + ACTIONS(12568), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250269] = 2, + ACTIONS(12570), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250277] = 2, + ACTIONS(12572), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250285] = 2, + ACTIONS(12574), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250293] = 2, + ACTIONS(12576), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250301] = 2, + ACTIONS(12578), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250309] = 2, + ACTIONS(12580), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250317] = 2, + ACTIONS(12582), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250325] = 2, + ACTIONS(12584), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250333] = 2, + ACTIONS(12586), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250341] = 2, + ACTIONS(12588), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250349] = 2, + ACTIONS(12590), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250357] = 2, + ACTIONS(12592), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250365] = 2, + ACTIONS(10550), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250373] = 2, + ACTIONS(12594), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250381] = 2, + ACTIONS(12596), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250389] = 2, + ACTIONS(12598), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250397] = 2, + ACTIONS(12600), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250405] = 2, + ACTIONS(12602), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250413] = 2, + ACTIONS(12604), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250421] = 2, + ACTIONS(12606), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250429] = 2, + ACTIONS(12608), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250437] = 2, + ACTIONS(12610), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250445] = 2, + ACTIONS(12612), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250453] = 2, + ACTIONS(10582), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250461] = 2, + ACTIONS(1702), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250469] = 2, + ACTIONS(12614), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250477] = 2, + ACTIONS(12616), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250485] = 2, + ACTIONS(12618), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250493] = 2, + ACTIONS(12620), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250501] = 2, + ACTIONS(12622), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250509] = 2, + ACTIONS(12624), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250517] = 2, + ACTIONS(12626), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250525] = 2, + ACTIONS(10562), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250533] = 2, + ACTIONS(12628), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250541] = 2, + ACTIONS(12630), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250549] = 2, + ACTIONS(12632), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250557] = 2, + ACTIONS(12634), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250565] = 2, + ACTIONS(12636), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250573] = 2, + ACTIONS(12638), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250581] = 2, + ACTIONS(12640), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250589] = 2, + ACTIONS(12642), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250597] = 2, + ACTIONS(12644), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250605] = 2, + ACTIONS(12646), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250613] = 2, + ACTIONS(12648), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250621] = 2, + ACTIONS(12650), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250629] = 2, + ACTIONS(12652), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250637] = 2, + ACTIONS(10566), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250645] = 2, + ACTIONS(12654), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250653] = 2, + ACTIONS(12656), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250661] = 2, + ACTIONS(12658), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250669] = 2, + ACTIONS(12660), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250677] = 2, + ACTIONS(12662), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250685] = 2, + ACTIONS(12664), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250693] = 2, + ACTIONS(10570), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250701] = 2, + ACTIONS(12666), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250709] = 2, + ACTIONS(12668), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250717] = 2, + ACTIONS(12670), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250725] = 2, + ACTIONS(12672), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250733] = 2, + ACTIONS(12674), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250741] = 2, + ACTIONS(12676), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250749] = 2, + ACTIONS(12678), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250757] = 2, + ACTIONS(12680), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250765] = 2, + ACTIONS(12682), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250773] = 2, + ACTIONS(1664), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250781] = 2, + ACTIONS(12684), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250789] = 2, + ACTIONS(12686), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250797] = 2, + ACTIONS(12688), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250805] = 2, + ACTIONS(12690), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250813] = 2, + ACTIONS(12692), 1, + aux_sym_case_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250821] = 2, + ACTIONS(12694), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250829] = 2, + ACTIONS(12696), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250837] = 2, + ACTIONS(10286), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250845] = 2, + ACTIONS(12698), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250853] = 2, + ACTIONS(12700), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250861] = 2, + ACTIONS(12702), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250869] = 2, + ACTIONS(12704), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250877] = 2, + ACTIONS(12706), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250885] = 2, + ACTIONS(12708), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250893] = 2, + ACTIONS(12710), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250901] = 2, + ACTIONS(10706), 1, + anon_sym_DOT2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250909] = 2, + ACTIONS(12712), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250917] = 2, + ACTIONS(12714), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250925] = 2, + ACTIONS(10576), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250933] = 2, + ACTIONS(12716), 1, + anon_sym_RBRACK, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250941] = 2, + ACTIONS(12718), 1, + aux_sym__when_clause_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250949] = 2, + ACTIONS(12720), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250957] = 2, + ACTIONS(12722), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250965] = 2, + ACTIONS(12724), 1, + aux_sym__in_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250973] = 2, + ACTIONS(12726), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250981] = 2, + ACTIONS(12728), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250989] = 2, + ACTIONS(968), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [250997] = 2, + ACTIONS(12730), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251005] = 2, + ACTIONS(1381), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251013] = 2, + ACTIONS(12732), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251021] = 2, + ACTIONS(12734), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251029] = 2, + ACTIONS(12736), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251037] = 2, + ACTIONS(12738), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251045] = 2, + ACTIONS(12740), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251053] = 2, + ACTIONS(12742), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251061] = 2, + ACTIONS(12744), 1, + anon_sym_DOT2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251069] = 2, + ACTIONS(12746), 1, + aux_sym__after_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251077] = 2, + ACTIONS(12748), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251085] = 2, + ACTIONS(5063), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251093] = 2, + ACTIONS(12750), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251101] = 2, + ACTIONS(12752), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251109] = 2, + ACTIONS(12754), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251117] = 2, + ACTIONS(12756), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251125] = 2, + ACTIONS(12758), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251133] = 2, + ACTIONS(12760), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251141] = 2, + ACTIONS(12762), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251149] = 2, + ACTIONS(12764), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251157] = 2, + ACTIONS(12766), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251165] = 2, + ACTIONS(7178), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251173] = 2, + ACTIONS(12768), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251181] = 2, + ACTIONS(12770), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251189] = 2, + ACTIONS(12772), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251197] = 2, + ACTIONS(12774), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251205] = 2, + ACTIONS(12776), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251213] = 2, + ACTIONS(12778), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251221] = 2, + ACTIONS(10270), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251229] = 2, + ACTIONS(12780), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251237] = 2, + ACTIONS(12782), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251245] = 2, + ACTIONS(12784), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251253] = 2, + ACTIONS(12786), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251261] = 2, + ACTIONS(12788), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251269] = 2, + ACTIONS(12790), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251277] = 2, + ACTIONS(12792), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251285] = 2, + ACTIONS(12794), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251293] = 2, + ACTIONS(12796), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251301] = 2, + ACTIONS(12798), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251309] = 2, + ACTIONS(12800), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251317] = 2, + ACTIONS(12802), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251325] = 2, + ACTIONS(12804), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251333] = 2, + ACTIONS(12806), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251341] = 2, + ACTIONS(12808), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251349] = 2, + ACTIONS(12810), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251357] = 2, + ACTIONS(5147), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251365] = 2, + ACTIONS(12812), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251373] = 2, + ACTIONS(12814), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251381] = 2, + ACTIONS(12816), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251389] = 2, + ACTIONS(12818), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251397] = 2, + ACTIONS(12820), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251405] = 2, + ACTIONS(12822), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251413] = 2, + ACTIONS(12824), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251421] = 2, + ACTIONS(12826), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251429] = 2, + ACTIONS(12828), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251437] = 2, + ACTIONS(12830), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251445] = 2, + ACTIONS(12832), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251453] = 2, + ACTIONS(12834), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251461] = 2, + ACTIONS(12836), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251469] = 2, + ACTIONS(12838), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251477] = 2, + ACTIONS(12840), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251485] = 2, + ACTIONS(12842), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251493] = 2, + ACTIONS(12844), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251501] = 2, + ACTIONS(12846), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251509] = 2, + ACTIONS(12848), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251517] = 2, + ACTIONS(12850), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251525] = 2, + ACTIONS(12852), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251533] = 2, + ACTIONS(12854), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251541] = 2, + ACTIONS(12856), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251549] = 2, + ACTIONS(5704), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251557] = 2, + ACTIONS(12858), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251565] = 2, + ACTIONS(12860), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251573] = 2, + ACTIONS(12862), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251581] = 2, + ACTIONS(12864), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251589] = 2, + ACTIONS(12866), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251597] = 2, + ACTIONS(12868), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251605] = 2, + ACTIONS(12870), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251613] = 2, + ACTIONS(12872), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251621] = 2, + ACTIONS(12874), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251629] = 2, + ACTIONS(12876), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251637] = 2, + ACTIONS(7158), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251645] = 2, + ACTIONS(12878), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251653] = 2, + ACTIONS(12880), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251661] = 2, + ACTIONS(12882), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251669] = 2, + ACTIONS(12884), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251677] = 2, + ACTIONS(12886), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251685] = 2, + ACTIONS(6895), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251693] = 2, + ACTIONS(12888), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251701] = 2, + ACTIONS(12890), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251709] = 2, + ACTIONS(12892), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251717] = 2, + ACTIONS(12894), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251725] = 2, + ACTIONS(6636), 1, + aux_sym_verification_unit_binding_indication_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251733] = 2, + ACTIONS(12896), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251741] = 2, + ACTIONS(12898), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251749] = 2, + ACTIONS(4856), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251757] = 2, + ACTIONS(12900), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251765] = 2, + ACTIONS(12902), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251773] = 2, + ACTIONS(12904), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251781] = 2, + ACTIONS(12906), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251789] = 2, + ACTIONS(8133), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251797] = 2, + ACTIONS(12908), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251805] = 2, + ACTIONS(12910), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251813] = 2, + ACTIONS(12912), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251821] = 2, + ACTIONS(12914), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251829] = 2, + ACTIONS(12916), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251837] = 2, + ACTIONS(12918), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251845] = 2, + ACTIONS(12920), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251853] = 2, + ACTIONS(12922), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251861] = 2, + ACTIONS(12924), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251869] = 2, + ACTIONS(10694), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251877] = 2, + ACTIONS(12926), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251885] = 2, + ACTIONS(12928), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251893] = 2, + ACTIONS(9174), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251901] = 2, + ACTIONS(9176), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251909] = 2, + ACTIONS(12930), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251917] = 2, + ACTIONS(12932), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251925] = 2, + ACTIONS(12934), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251933] = 2, + ACTIONS(12936), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251941] = 2, + ACTIONS(8073), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251949] = 2, + ACTIONS(994), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251957] = 2, + ACTIONS(8131), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251965] = 2, + ACTIONS(8163), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251973] = 2, + ACTIONS(8165), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251981] = 2, + ACTIONS(12938), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251989] = 2, + ACTIONS(12940), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [251997] = 2, + ACTIONS(8340), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252005] = 2, + ACTIONS(12942), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252013] = 2, + ACTIONS(12944), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252021] = 2, + ACTIONS(8346), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252029] = 2, + ACTIONS(12946), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252037] = 2, + ACTIONS(12948), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252045] = 2, + ACTIONS(8328), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252053] = 2, + ACTIONS(12950), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252061] = 2, + ACTIONS(12952), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252069] = 2, + ACTIONS(12954), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252077] = 2, + ACTIONS(12956), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252085] = 2, + ACTIONS(12958), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252093] = 2, + ACTIONS(12960), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252101] = 2, + ACTIONS(12962), 1, + anon_sym_LT_EQ2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252109] = 2, + ACTIONS(12964), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252117] = 2, + ACTIONS(12966), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252125] = 2, + ACTIONS(12968), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252133] = 2, + ACTIONS(12970), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252141] = 2, + ACTIONS(12972), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252149] = 2, + ACTIONS(12974), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252157] = 2, + ACTIONS(12976), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252165] = 2, + ACTIONS(12978), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252173] = 2, + ACTIONS(12980), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252181] = 2, + ACTIONS(958), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252189] = 2, + ACTIONS(12982), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252197] = 2, + ACTIONS(12984), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252205] = 2, + ACTIONS(12986), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252213] = 2, + ACTIONS(12988), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252221] = 2, + ACTIONS(8330), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252229] = 2, + ACTIONS(12990), 1, + aux_sym_if_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252237] = 2, + ACTIONS(12992), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252245] = 2, + ACTIONS(12994), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252253] = 2, + ACTIONS(12996), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252261] = 2, + ACTIONS(12998), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252269] = 2, + ACTIONS(13000), 1, + aux_sym_inertial_expression_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252277] = 2, + ACTIONS(13002), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252285] = 2, + ACTIONS(13004), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252293] = 2, + ACTIONS(13006), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252301] = 2, + ACTIONS(13008), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252309] = 2, + ACTIONS(13010), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252317] = 2, + ACTIONS(9140), 1, + anon_sym_RBRACK, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252325] = 2, + ACTIONS(13012), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252333] = 2, + ACTIONS(13014), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252341] = 2, + ACTIONS(1716), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252349] = 2, + ACTIONS(13016), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252357] = 2, + ACTIONS(2107), 1, + anon_sym_EQ_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252365] = 2, + ACTIONS(976), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252373] = 2, + ACTIONS(13018), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252381] = 2, + ACTIONS(13020), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252389] = 2, + ACTIONS(13022), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252397] = 2, + ACTIONS(13024), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252405] = 2, + ACTIONS(13026), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252413] = 2, + ACTIONS(13028), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252421] = 2, + ACTIONS(8536), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252429] = 2, + ACTIONS(998), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252437] = 2, + ACTIONS(8538), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252445] = 2, + ACTIONS(13030), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252453] = 2, + ACTIONS(13032), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252461] = 2, + ACTIONS(13034), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252469] = 2, + ACTIONS(13036), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252477] = 2, + ACTIONS(13038), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252485] = 2, + ACTIONS(13040), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252493] = 2, + ACTIONS(13042), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252501] = 2, + ACTIONS(13044), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252509] = 2, + ACTIONS(13046), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252517] = 2, + ACTIONS(13048), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252525] = 2, + ACTIONS(13050), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252533] = 2, + ACTIONS(13052), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252541] = 2, + ACTIONS(13054), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252549] = 2, + ACTIONS(13056), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252557] = 2, + ACTIONS(13058), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252565] = 2, + ACTIONS(13060), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252573] = 2, + ACTIONS(9410), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252581] = 2, + ACTIONS(9408), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252589] = 2, + ACTIONS(6885), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252597] = 2, + ACTIONS(950), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252605] = 2, + ACTIONS(13062), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252613] = 2, + ACTIONS(13064), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252621] = 2, + ACTIONS(13066), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252629] = 2, + ACTIONS(13068), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252637] = 2, + ACTIONS(1546), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252645] = 2, + ACTIONS(13070), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252653] = 2, + ACTIONS(13072), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252661] = 2, + ACTIONS(1419), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252669] = 2, + ACTIONS(992), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252677] = 2, + ACTIONS(13074), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252685] = 2, + ACTIONS(13076), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252693] = 2, + ACTIONS(13078), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252701] = 2, + ACTIONS(13080), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252709] = 2, + ACTIONS(13082), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252717] = 2, + ACTIONS(13084), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252725] = 2, + ACTIONS(13086), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252733] = 2, + ACTIONS(13088), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252741] = 2, + ACTIONS(13090), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252749] = 2, + ACTIONS(13092), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252757] = 2, + ACTIONS(1574), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252765] = 2, + ACTIONS(13094), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252773] = 2, + ACTIONS(13096), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252781] = 2, + ACTIONS(944), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252789] = 2, + ACTIONS(13098), 1, + anon_sym_RBRACK, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252797] = 2, + ACTIONS(13100), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252805] = 2, + ACTIONS(13102), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252813] = 2, + ACTIONS(1413), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252821] = 2, + ACTIONS(1628), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252829] = 2, + ACTIONS(13104), 1, + aux_sym_block_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252837] = 2, + ACTIONS(1130), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252845] = 2, + ACTIONS(13106), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252853] = 2, + ACTIONS(13108), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252861] = 2, + ACTIONS(13110), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252869] = 2, + ACTIONS(13112), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252877] = 2, + ACTIONS(13114), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252885] = 2, + ACTIONS(13116), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252893] = 2, + ACTIONS(10700), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252901] = 2, + ACTIONS(1004), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252909] = 2, + ACTIONS(9826), 1, + aux_sym__in_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252917] = 2, + ACTIONS(13118), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252925] = 2, + ACTIONS(9824), 1, + aux_sym__in_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252933] = 2, + ACTIONS(13120), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252941] = 2, + ACTIONS(6909), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252949] = 2, + ACTIONS(13122), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252957] = 2, + ACTIONS(13124), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252965] = 2, + ACTIONS(13126), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252973] = 2, + ACTIONS(13128), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252981] = 2, + ACTIONS(1674), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252989] = 2, + ACTIONS(13130), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [252997] = 2, + ACTIONS(13132), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253005] = 2, + ACTIONS(13134), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253013] = 2, + ACTIONS(954), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253021] = 2, + ACTIONS(13136), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253029] = 2, + ACTIONS(13138), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253037] = 2, + ACTIONS(13140), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253045] = 2, + ACTIONS(13142), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253053] = 2, + ACTIONS(13144), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253061] = 2, + ACTIONS(13146), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253069] = 2, + ACTIONS(13148), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253077] = 2, + ACTIONS(13150), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253085] = 2, + ACTIONS(13152), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253093] = 2, + ACTIONS(13154), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253101] = 2, + ACTIONS(13156), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253109] = 2, + ACTIONS(964), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253117] = 2, + ACTIONS(1233), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253125] = 2, + ACTIONS(13158), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253133] = 2, + ACTIONS(13160), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253141] = 2, + ACTIONS(13162), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253149] = 2, + ACTIONS(13164), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253157] = 2, + ACTIONS(13166), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253165] = 2, + ACTIONS(13168), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253173] = 2, + ACTIONS(13170), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253181] = 2, + ACTIONS(13172), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253189] = 2, + ACTIONS(13174), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253197] = 2, + ACTIONS(13176), 1, + anon_sym_DOT2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253205] = 2, + ACTIONS(970), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253213] = 2, + ACTIONS(13178), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253221] = 2, + ACTIONS(13180), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253229] = 2, + ACTIONS(13182), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253237] = 2, + ACTIONS(13184), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253245] = 2, + ACTIONS(13186), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253253] = 2, + ACTIONS(13188), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253261] = 2, + ACTIONS(13190), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253269] = 2, + ACTIONS(13192), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253277] = 2, + ACTIONS(13194), 1, + aux_sym_process_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253285] = 2, + ACTIONS(10069), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253293] = 2, + ACTIONS(13196), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253301] = 2, + ACTIONS(13198), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253309] = 2, + ACTIONS(13200), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253317] = 2, + ACTIONS(5179), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253325] = 2, + ACTIONS(13202), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253333] = 2, + ACTIONS(13204), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253341] = 2, + ACTIONS(13206), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253349] = 2, + ACTIONS(13208), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253357] = 2, + ACTIONS(13210), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253365] = 2, + ACTIONS(13212), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253373] = 2, + ACTIONS(13214), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253381] = 2, + ACTIONS(5165), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253389] = 2, + ACTIONS(13216), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253397] = 2, + ACTIONS(13218), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253405] = 2, + ACTIONS(13220), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253413] = 2, + ACTIONS(13222), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253421] = 2, + ACTIONS(5709), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253429] = 2, + ACTIONS(13224), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253437] = 2, + ACTIONS(13226), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253445] = 2, + ACTIONS(13228), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253453] = 2, + ACTIONS(13230), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253461] = 2, + ACTIONS(13232), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253469] = 2, + ACTIONS(13234), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253477] = 2, + ACTIONS(13236), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253485] = 2, + ACTIONS(13238), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253493] = 2, + ACTIONS(13240), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253501] = 2, + ACTIONS(13242), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253509] = 2, + ACTIONS(13244), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253517] = 2, + ACTIONS(13246), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253525] = 2, + ACTIONS(13248), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253533] = 2, + ACTIONS(13250), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253541] = 2, + ACTIONS(13252), 1, + aux_sym_PSL_Clock_Declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253549] = 2, + ACTIONS(13254), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253557] = 2, + ACTIONS(13256), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253565] = 2, + ACTIONS(13258), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253573] = 2, + ACTIONS(13260), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253581] = 2, + ACTIONS(13262), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253589] = 2, + ACTIONS(13264), 1, + aux_sym_component_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253597] = 2, + ACTIONS(13266), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253605] = 2, + ACTIONS(5456), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253613] = 2, + ACTIONS(13268), 1, + aux_sym_block_configuration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253621] = 2, + ACTIONS(13270), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253629] = 2, + ACTIONS(13272), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253637] = 2, + ACTIONS(13274), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253645] = 2, + ACTIONS(13276), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253653] = 2, + ACTIONS(13278), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253661] = 2, + ACTIONS(13280), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253669] = 2, + ACTIONS(13282), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253677] = 2, + ACTIONS(13284), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253685] = 2, + ACTIONS(13286), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253693] = 2, + ACTIONS(13288), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253701] = 2, + ACTIONS(13290), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253709] = 2, + ACTIONS(1034), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253717] = 2, + ACTIONS(13292), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253725] = 2, + ACTIONS(13294), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253733] = 2, + ACTIONS(1202), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253741] = 2, + ACTIONS(13296), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253749] = 2, + ACTIONS(6851), 1, + aux_sym_return_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253757] = 2, + ACTIONS(13298), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253765] = 2, + ACTIONS(13300), 1, + aux_sym_procedure_instantiation_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253773] = 2, + ACTIONS(13302), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253781] = 2, + ACTIONS(8260), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253789] = 2, + ACTIONS(13304), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253797] = 2, + ACTIONS(13306), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253805] = 2, + ACTIONS(13308), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253813] = 2, + ACTIONS(13310), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253821] = 2, + ACTIONS(13312), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253829] = 2, + ACTIONS(13314), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253837] = 2, + ACTIONS(13316), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253845] = 2, + ACTIONS(1337), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253853] = 2, + ACTIONS(13318), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253861] = 2, + ACTIONS(13320), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253869] = 2, + ACTIONS(1138), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253877] = 2, + ACTIONS(13322), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253885] = 2, + ACTIONS(13324), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253893] = 2, + ACTIONS(13326), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253901] = 2, + ACTIONS(13328), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253909] = 2, + ACTIONS(13330), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253917] = 2, + ACTIONS(13332), 1, + aux_sym_PSL_Fairness_Directive_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253925] = 2, + ACTIONS(13334), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253933] = 2, + ACTIONS(13336), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253941] = 2, + ACTIONS(8598), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253949] = 2, + ACTIONS(13338), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253957] = 2, + ACTIONS(13340), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253965] = 2, + ACTIONS(1377), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253973] = 2, + ACTIONS(13342), 1, + aux_sym_for_generate_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253981] = 2, + ACTIONS(13344), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253989] = 2, + ACTIONS(8594), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [253997] = 2, + ACTIONS(13346), 1, + aux_sym_if_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254005] = 2, + ACTIONS(9970), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254013] = 2, + ACTIONS(13348), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254021] = 2, + ACTIONS(13350), 1, + anon_sym_GT_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254029] = 2, + ACTIONS(13352), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254037] = 2, + ACTIONS(13354), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254045] = 2, + ACTIONS(13356), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254053] = 2, + ACTIONS(13358), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254061] = 2, + ACTIONS(13360), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254069] = 2, + ACTIONS(13362), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254077] = 2, + ACTIONS(7162), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254085] = 2, + ACTIONS(1353), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254093] = 2, + ACTIONS(1343), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254101] = 2, + ACTIONS(13364), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254109] = 2, + ACTIONS(13366), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254117] = 2, + ACTIONS(13368), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254125] = 2, + ACTIONS(1365), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254133] = 2, + ACTIONS(13370), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254141] = 2, + ACTIONS(10949), 1, + anon_sym_COMMA, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254149] = 2, + ACTIONS(13372), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254157] = 2, + ACTIONS(1028), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254165] = 2, + ACTIONS(13374), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254173] = 2, + ACTIONS(13376), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254181] = 2, + ACTIONS(13378), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254189] = 2, + ACTIONS(1389), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254197] = 2, + ACTIONS(13380), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254205] = 2, + ACTIONS(8268), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254213] = 2, + ACTIONS(13382), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254221] = 2, + ACTIONS(13384), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254229] = 2, + ACTIONS(13386), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254237] = 2, + ACTIONS(13388), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254245] = 2, + ACTIONS(13390), 1, + aux_sym_entity_declaration_token3, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254253] = 2, + ACTIONS(7176), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254261] = 2, + ACTIONS(13392), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254269] = 2, + ACTIONS(8194), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254277] = 2, + ACTIONS(9937), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254285] = 2, + ACTIONS(8192), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254293] = 2, + ACTIONS(13394), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254301] = 2, + ACTIONS(956), 1, + aux_sym_entity_declaration_token4, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254309] = 2, + ACTIONS(13396), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254317] = 2, + ACTIONS(13398), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254325] = 2, + ACTIONS(13400), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254333] = 2, + ACTIONS(9828), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254341] = 2, + ACTIONS(13402), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254349] = 2, + ACTIONS(13404), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254357] = 2, + ACTIONS(13406), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254365] = 2, + ACTIONS(13408), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254373] = 2, + ACTIONS(13410), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254381] = 2, + ACTIONS(7717), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254389] = 2, + ACTIONS(13412), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254397] = 2, + ACTIONS(13414), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254405] = 2, + ACTIONS(13416), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254413] = 2, + ACTIONS(13418), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254421] = 2, + ACTIONS(13420), 1, + anon_sym_RBRACK, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254429] = 2, + ACTIONS(13422), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254437] = 2, + ACTIONS(13424), 1, + aux_sym_variable_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254445] = 2, + ACTIONS(13426), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254453] = 2, + ACTIONS(13428), 1, + aux_sym_PSL_Fairness_Directive_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254461] = 2, + ACTIONS(13430), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254469] = 2, + ACTIONS(13432), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254477] = 2, + ACTIONS(13434), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254485] = 2, + ACTIONS(6881), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254493] = 2, + ACTIONS(13436), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254501] = 2, + ACTIONS(13438), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254509] = 2, + ACTIONS(13440), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254517] = 2, + ACTIONS(13442), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254525] = 2, + ACTIONS(13444), 1, + aux_sym_PSL_Fairness_Directive_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254533] = 2, + ACTIONS(13446), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254541] = 2, + ACTIONS(13448), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254549] = 2, + ACTIONS(13450), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254557] = 2, + ACTIONS(13452), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254565] = 2, + ACTIONS(13454), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254573] = 2, + ACTIONS(13456), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254581] = 2, + ACTIONS(13458), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254589] = 2, + ACTIONS(13460), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254597] = 2, + ACTIONS(13462), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254605] = 2, + ACTIONS(13464), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254613] = 2, + ACTIONS(13466), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254621] = 2, + ACTIONS(13468), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254629] = 2, + ACTIONS(13470), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254637] = 2, + ACTIONS(13472), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254645] = 2, + ACTIONS(13474), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254653] = 2, + ACTIONS(13476), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254661] = 2, + ACTIONS(13478), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254669] = 2, + ACTIONS(13480), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254677] = 2, + ACTIONS(13482), 1, + aux_sym_entity_declaration_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254685] = 2, + ACTIONS(13484), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254693] = 2, + ACTIONS(13486), 1, + anon_sym_EQ_GT, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254701] = 2, + ACTIONS(13488), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254709] = 2, + ACTIONS(13490), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254717] = 2, + ACTIONS(13492), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254725] = 2, + ACTIONS(4844), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254733] = 2, + ACTIONS(13494), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254741] = 2, + ACTIONS(13496), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254749] = 2, + ACTIONS(13498), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254757] = 2, + ACTIONS(6907), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254765] = 2, + ACTIONS(8206), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254773] = 2, + ACTIONS(13500), 1, + anon_sym_COLON, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254781] = 2, + ACTIONS(8871), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254789] = 2, + ACTIONS(13502), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254797] = 2, + ACTIONS(13504), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254805] = 2, + ACTIONS(13506), 1, + aux_sym_architecture_body_token2, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254813] = 2, + ACTIONS(13508), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254821] = 2, + ACTIONS(4679), 1, + aux_sym_loop_statement_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254829] = 2, + ACTIONS(13510), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254837] = 2, + ACTIONS(13512), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254845] = 2, + ACTIONS(13514), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254853] = 2, + ACTIONS(2035), 1, + anon_sym_LPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254861] = 2, + ACTIONS(13516), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254869] = 2, + ACTIONS(13518), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254877] = 2, + ACTIONS(13520), 1, + ts_builtin_sym_end, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254885] = 2, + ACTIONS(13522), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254893] = 2, + ACTIONS(13524), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254901] = 2, + ACTIONS(13526), 1, + aux_sym_PSL_Fairness_Directive_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254909] = 2, + ACTIONS(13528), 1, + anon_sym_DQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254917] = 2, + ACTIONS(13530), 1, + anon_sym_SQUOTE, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254925] = 2, + ACTIONS(13532), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254933] = 2, + ACTIONS(13534), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254941] = 2, + ACTIONS(13536), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254949] = 2, + ACTIONS(13538), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254957] = 2, + ACTIONS(13540), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254965] = 2, + ACTIONS(7095), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254973] = 2, + ACTIONS(13542), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254981] = 2, + ACTIONS(13544), 1, + aux_sym_PSL_Clock_Declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254989] = 2, + ACTIONS(13546), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [254997] = 2, + ACTIONS(8867), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255005] = 2, + ACTIONS(13548), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255013] = 2, + ACTIONS(13550), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255021] = 2, + ACTIONS(13552), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255029] = 2, + ACTIONS(13554), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255037] = 2, + ACTIONS(13556), 1, + aux_sym_variable_declaration_token1, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255045] = 2, + ACTIONS(13558), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255053] = 2, + ACTIONS(13560), 1, + anon_sym_RPAREN, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255061] = 2, + ACTIONS(13562), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255069] = 2, + ACTIONS(13564), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255077] = 2, + ACTIONS(13566), 1, + anon_sym_RBRACK, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255085] = 2, + ACTIONS(9717), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255093] = 2, + ACTIONS(13568), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, + [255101] = 2, + ACTIONS(7049), 1, + anon_sym_SEMI, + ACTIONS(3), 2, + sym_comment, + sym_tool_directive, +}; + +static const uint32_t ts_small_parse_table_map[] = { + [SMALL_STATE(985)] = 0, + [SMALL_STATE(986)] = 71, + [SMALL_STATE(987)] = 142, + [SMALL_STATE(988)] = 213, + [SMALL_STATE(989)] = 284, + [SMALL_STATE(990)] = 355, + [SMALL_STATE(991)] = 426, + [SMALL_STATE(992)] = 497, + [SMALL_STATE(993)] = 568, + [SMALL_STATE(994)] = 639, + [SMALL_STATE(995)] = 710, + [SMALL_STATE(996)] = 781, + [SMALL_STATE(997)] = 852, + [SMALL_STATE(998)] = 923, + [SMALL_STATE(999)] = 994, + [SMALL_STATE(1000)] = 1065, + [SMALL_STATE(1001)] = 1136, + [SMALL_STATE(1002)] = 1207, + [SMALL_STATE(1003)] = 1278, + [SMALL_STATE(1004)] = 1349, + [SMALL_STATE(1005)] = 1420, + [SMALL_STATE(1006)] = 1491, + [SMALL_STATE(1007)] = 1562, + [SMALL_STATE(1008)] = 1633, + [SMALL_STATE(1009)] = 1704, + [SMALL_STATE(1010)] = 1775, + [SMALL_STATE(1011)] = 1846, + [SMALL_STATE(1012)] = 1917, + [SMALL_STATE(1013)] = 1988, + [SMALL_STATE(1014)] = 2059, + [SMALL_STATE(1015)] = 2130, + [SMALL_STATE(1016)] = 2201, + [SMALL_STATE(1017)] = 2272, + [SMALL_STATE(1018)] = 2343, + [SMALL_STATE(1019)] = 2414, + [SMALL_STATE(1020)] = 2485, + [SMALL_STATE(1021)] = 2556, + [SMALL_STATE(1022)] = 2627, + [SMALL_STATE(1023)] = 2698, + [SMALL_STATE(1024)] = 2769, + [SMALL_STATE(1025)] = 2840, + [SMALL_STATE(1026)] = 2911, + [SMALL_STATE(1027)] = 2982, + [SMALL_STATE(1028)] = 3053, + [SMALL_STATE(1029)] = 3124, + [SMALL_STATE(1030)] = 3195, + [SMALL_STATE(1031)] = 3266, + [SMALL_STATE(1032)] = 3337, + [SMALL_STATE(1033)] = 3408, + [SMALL_STATE(1034)] = 3479, + [SMALL_STATE(1035)] = 3550, + [SMALL_STATE(1036)] = 3621, + [SMALL_STATE(1037)] = 3692, + [SMALL_STATE(1038)] = 3763, + [SMALL_STATE(1039)] = 3834, + [SMALL_STATE(1040)] = 3905, + [SMALL_STATE(1041)] = 3976, + [SMALL_STATE(1042)] = 4047, + [SMALL_STATE(1043)] = 4118, + [SMALL_STATE(1044)] = 4189, + [SMALL_STATE(1045)] = 4260, + [SMALL_STATE(1046)] = 4331, + [SMALL_STATE(1047)] = 4402, + [SMALL_STATE(1048)] = 4473, + [SMALL_STATE(1049)] = 4544, + [SMALL_STATE(1050)] = 4615, + [SMALL_STATE(1051)] = 4686, + [SMALL_STATE(1052)] = 4757, + [SMALL_STATE(1053)] = 4828, + [SMALL_STATE(1054)] = 4899, + [SMALL_STATE(1055)] = 4970, + [SMALL_STATE(1056)] = 5041, + [SMALL_STATE(1057)] = 5112, + [SMALL_STATE(1058)] = 5183, + [SMALL_STATE(1059)] = 5254, + [SMALL_STATE(1060)] = 5325, + [SMALL_STATE(1061)] = 5396, + [SMALL_STATE(1062)] = 5467, + [SMALL_STATE(1063)] = 5538, + [SMALL_STATE(1064)] = 5609, + [SMALL_STATE(1065)] = 5680, + [SMALL_STATE(1066)] = 5751, + [SMALL_STATE(1067)] = 5822, + [SMALL_STATE(1068)] = 5893, + [SMALL_STATE(1069)] = 5964, + [SMALL_STATE(1070)] = 6035, + [SMALL_STATE(1071)] = 6106, + [SMALL_STATE(1072)] = 6177, + [SMALL_STATE(1073)] = 6248, + [SMALL_STATE(1074)] = 6319, + [SMALL_STATE(1075)] = 6390, + [SMALL_STATE(1076)] = 6461, + [SMALL_STATE(1077)] = 6532, + [SMALL_STATE(1078)] = 6603, + [SMALL_STATE(1079)] = 6674, + [SMALL_STATE(1080)] = 6745, + [SMALL_STATE(1081)] = 6842, + [SMALL_STATE(1082)] = 6913, + [SMALL_STATE(1083)] = 6984, + [SMALL_STATE(1084)] = 7055, + [SMALL_STATE(1085)] = 7126, + [SMALL_STATE(1086)] = 7197, + [SMALL_STATE(1087)] = 7268, + [SMALL_STATE(1088)] = 7339, + [SMALL_STATE(1089)] = 7410, + [SMALL_STATE(1090)] = 7481, + [SMALL_STATE(1091)] = 7552, + [SMALL_STATE(1092)] = 7623, + [SMALL_STATE(1093)] = 7694, + [SMALL_STATE(1094)] = 7765, + [SMALL_STATE(1095)] = 7836, + [SMALL_STATE(1096)] = 7907, + [SMALL_STATE(1097)] = 7978, + [SMALL_STATE(1098)] = 8049, + [SMALL_STATE(1099)] = 8120, + [SMALL_STATE(1100)] = 8191, + [SMALL_STATE(1101)] = 8262, + [SMALL_STATE(1102)] = 8333, + [SMALL_STATE(1103)] = 8404, + [SMALL_STATE(1104)] = 8475, + [SMALL_STATE(1105)] = 8546, + [SMALL_STATE(1106)] = 8617, + [SMALL_STATE(1107)] = 8688, + [SMALL_STATE(1108)] = 8759, + [SMALL_STATE(1109)] = 8830, + [SMALL_STATE(1110)] = 8901, + [SMALL_STATE(1111)] = 8972, + [SMALL_STATE(1112)] = 9043, + [SMALL_STATE(1113)] = 9114, + [SMALL_STATE(1114)] = 9185, + [SMALL_STATE(1115)] = 9256, + [SMALL_STATE(1116)] = 9327, + [SMALL_STATE(1117)] = 9398, + [SMALL_STATE(1118)] = 9469, + [SMALL_STATE(1119)] = 9540, + [SMALL_STATE(1120)] = 9611, + [SMALL_STATE(1121)] = 9682, + [SMALL_STATE(1122)] = 9753, + [SMALL_STATE(1123)] = 9824, + [SMALL_STATE(1124)] = 9895, + [SMALL_STATE(1125)] = 9966, + [SMALL_STATE(1126)] = 10037, + [SMALL_STATE(1127)] = 10108, + [SMALL_STATE(1128)] = 10179, + [SMALL_STATE(1129)] = 10250, + [SMALL_STATE(1130)] = 10321, + [SMALL_STATE(1131)] = 10392, + [SMALL_STATE(1132)] = 10463, + [SMALL_STATE(1133)] = 10534, + [SMALL_STATE(1134)] = 10605, + [SMALL_STATE(1135)] = 10676, + [SMALL_STATE(1136)] = 10747, + [SMALL_STATE(1137)] = 10818, + [SMALL_STATE(1138)] = 10889, + [SMALL_STATE(1139)] = 10960, + [SMALL_STATE(1140)] = 11031, + [SMALL_STATE(1141)] = 11102, + [SMALL_STATE(1142)] = 11173, + [SMALL_STATE(1143)] = 11244, + [SMALL_STATE(1144)] = 11315, + [SMALL_STATE(1145)] = 11386, + [SMALL_STATE(1146)] = 11457, + [SMALL_STATE(1147)] = 11528, + [SMALL_STATE(1148)] = 11599, + [SMALL_STATE(1149)] = 11670, + [SMALL_STATE(1150)] = 11741, + [SMALL_STATE(1151)] = 11812, + [SMALL_STATE(1152)] = 11883, + [SMALL_STATE(1153)] = 11954, + [SMALL_STATE(1154)] = 12025, + [SMALL_STATE(1155)] = 12096, + [SMALL_STATE(1156)] = 12167, + [SMALL_STATE(1157)] = 12238, + [SMALL_STATE(1158)] = 12309, + [SMALL_STATE(1159)] = 12380, + [SMALL_STATE(1160)] = 12451, + [SMALL_STATE(1161)] = 12522, + [SMALL_STATE(1162)] = 12593, + [SMALL_STATE(1163)] = 12664, + [SMALL_STATE(1164)] = 12735, + [SMALL_STATE(1165)] = 12806, + [SMALL_STATE(1166)] = 12877, + [SMALL_STATE(1167)] = 12948, + [SMALL_STATE(1168)] = 13019, + [SMALL_STATE(1169)] = 13090, + [SMALL_STATE(1170)] = 13161, + [SMALL_STATE(1171)] = 13232, + [SMALL_STATE(1172)] = 13303, + [SMALL_STATE(1173)] = 13374, + [SMALL_STATE(1174)] = 13445, + [SMALL_STATE(1175)] = 13516, + [SMALL_STATE(1176)] = 13587, + [SMALL_STATE(1177)] = 13658, + [SMALL_STATE(1178)] = 13729, + [SMALL_STATE(1179)] = 13800, + [SMALL_STATE(1180)] = 13871, + [SMALL_STATE(1181)] = 13942, + [SMALL_STATE(1182)] = 14013, + [SMALL_STATE(1183)] = 14084, + [SMALL_STATE(1184)] = 14155, + [SMALL_STATE(1185)] = 14226, + [SMALL_STATE(1186)] = 14297, + [SMALL_STATE(1187)] = 14368, + [SMALL_STATE(1188)] = 14439, + [SMALL_STATE(1189)] = 14510, + [SMALL_STATE(1190)] = 14581, + [SMALL_STATE(1191)] = 14652, + [SMALL_STATE(1192)] = 14723, + [SMALL_STATE(1193)] = 14794, + [SMALL_STATE(1194)] = 14865, + [SMALL_STATE(1195)] = 14936, + [SMALL_STATE(1196)] = 15007, + [SMALL_STATE(1197)] = 15078, + [SMALL_STATE(1198)] = 15149, + [SMALL_STATE(1199)] = 15220, + [SMALL_STATE(1200)] = 15291, + [SMALL_STATE(1201)] = 15362, + [SMALL_STATE(1202)] = 15433, + [SMALL_STATE(1203)] = 15504, + [SMALL_STATE(1204)] = 15575, + [SMALL_STATE(1205)] = 15646, + [SMALL_STATE(1206)] = 15717, + [SMALL_STATE(1207)] = 15788, + [SMALL_STATE(1208)] = 15859, + [SMALL_STATE(1209)] = 15930, + [SMALL_STATE(1210)] = 16001, + [SMALL_STATE(1211)] = 16072, + [SMALL_STATE(1212)] = 16143, + [SMALL_STATE(1213)] = 16214, + [SMALL_STATE(1214)] = 16285, + [SMALL_STATE(1215)] = 16356, + [SMALL_STATE(1216)] = 16427, + [SMALL_STATE(1217)] = 16498, + [SMALL_STATE(1218)] = 16569, + [SMALL_STATE(1219)] = 16640, + [SMALL_STATE(1220)] = 16711, + [SMALL_STATE(1221)] = 16782, + [SMALL_STATE(1222)] = 16853, + [SMALL_STATE(1223)] = 16924, + [SMALL_STATE(1224)] = 16995, + [SMALL_STATE(1225)] = 17066, + [SMALL_STATE(1226)] = 17137, + [SMALL_STATE(1227)] = 17208, + [SMALL_STATE(1228)] = 17279, + [SMALL_STATE(1229)] = 17350, + [SMALL_STATE(1230)] = 17421, + [SMALL_STATE(1231)] = 17492, + [SMALL_STATE(1232)] = 17563, + [SMALL_STATE(1233)] = 17634, + [SMALL_STATE(1234)] = 17705, + [SMALL_STATE(1235)] = 17776, + [SMALL_STATE(1236)] = 17847, + [SMALL_STATE(1237)] = 17918, + [SMALL_STATE(1238)] = 17989, + [SMALL_STATE(1239)] = 18060, + [SMALL_STATE(1240)] = 18131, + [SMALL_STATE(1241)] = 18202, + [SMALL_STATE(1242)] = 18273, + [SMALL_STATE(1243)] = 18344, + [SMALL_STATE(1244)] = 18415, + [SMALL_STATE(1245)] = 18486, + [SMALL_STATE(1246)] = 18557, + [SMALL_STATE(1247)] = 18628, + [SMALL_STATE(1248)] = 18699, + [SMALL_STATE(1249)] = 18770, + [SMALL_STATE(1250)] = 18841, + [SMALL_STATE(1251)] = 18912, + [SMALL_STATE(1252)] = 18983, + [SMALL_STATE(1253)] = 19054, + [SMALL_STATE(1254)] = 19125, + [SMALL_STATE(1255)] = 19196, + [SMALL_STATE(1256)] = 19267, + [SMALL_STATE(1257)] = 19338, + [SMALL_STATE(1258)] = 19409, + [SMALL_STATE(1259)] = 19480, + [SMALL_STATE(1260)] = 19551, + [SMALL_STATE(1261)] = 19622, + [SMALL_STATE(1262)] = 19693, + [SMALL_STATE(1263)] = 19764, + [SMALL_STATE(1264)] = 19835, + [SMALL_STATE(1265)] = 19906, + [SMALL_STATE(1266)] = 19977, + [SMALL_STATE(1267)] = 20048, + [SMALL_STATE(1268)] = 20119, + [SMALL_STATE(1269)] = 20190, + [SMALL_STATE(1270)] = 20261, + [SMALL_STATE(1271)] = 20332, + [SMALL_STATE(1272)] = 20403, + [SMALL_STATE(1273)] = 20474, + [SMALL_STATE(1274)] = 20545, + [SMALL_STATE(1275)] = 20616, + [SMALL_STATE(1276)] = 20687, + [SMALL_STATE(1277)] = 20758, + [SMALL_STATE(1278)] = 20829, + [SMALL_STATE(1279)] = 20900, + [SMALL_STATE(1280)] = 20971, + [SMALL_STATE(1281)] = 21042, + [SMALL_STATE(1282)] = 21113, + [SMALL_STATE(1283)] = 21184, + [SMALL_STATE(1284)] = 21255, + [SMALL_STATE(1285)] = 21326, + [SMALL_STATE(1286)] = 21397, + [SMALL_STATE(1287)] = 21468, + [SMALL_STATE(1288)] = 21539, + [SMALL_STATE(1289)] = 21610, + [SMALL_STATE(1290)] = 21681, + [SMALL_STATE(1291)] = 21752, + [SMALL_STATE(1292)] = 21823, + [SMALL_STATE(1293)] = 21894, + [SMALL_STATE(1294)] = 21965, + [SMALL_STATE(1295)] = 22036, + [SMALL_STATE(1296)] = 22107, + [SMALL_STATE(1297)] = 22178, + [SMALL_STATE(1298)] = 22249, + [SMALL_STATE(1299)] = 22320, + [SMALL_STATE(1300)] = 22391, + [SMALL_STATE(1301)] = 22462, + [SMALL_STATE(1302)] = 22533, + [SMALL_STATE(1303)] = 22604, + [SMALL_STATE(1304)] = 22675, + [SMALL_STATE(1305)] = 22746, + [SMALL_STATE(1306)] = 22817, + [SMALL_STATE(1307)] = 22888, + [SMALL_STATE(1308)] = 22959, + [SMALL_STATE(1309)] = 23030, + [SMALL_STATE(1310)] = 23101, + [SMALL_STATE(1311)] = 23172, + [SMALL_STATE(1312)] = 23243, + [SMALL_STATE(1313)] = 23314, + [SMALL_STATE(1314)] = 23385, + [SMALL_STATE(1315)] = 23456, + [SMALL_STATE(1316)] = 23527, + [SMALL_STATE(1317)] = 23598, + [SMALL_STATE(1318)] = 23669, + [SMALL_STATE(1319)] = 23740, + [SMALL_STATE(1320)] = 23811, + [SMALL_STATE(1321)] = 23882, + [SMALL_STATE(1322)] = 23953, + [SMALL_STATE(1323)] = 24024, + [SMALL_STATE(1324)] = 24095, + [SMALL_STATE(1325)] = 24166, + [SMALL_STATE(1326)] = 24237, + [SMALL_STATE(1327)] = 24308, + [SMALL_STATE(1328)] = 24379, + [SMALL_STATE(1329)] = 24450, + [SMALL_STATE(1330)] = 24521, + [SMALL_STATE(1331)] = 24592, + [SMALL_STATE(1332)] = 24663, + [SMALL_STATE(1333)] = 24734, + [SMALL_STATE(1334)] = 24805, + [SMALL_STATE(1335)] = 24876, + [SMALL_STATE(1336)] = 24947, + [SMALL_STATE(1337)] = 25018, + [SMALL_STATE(1338)] = 25089, + [SMALL_STATE(1339)] = 25160, + [SMALL_STATE(1340)] = 25231, + [SMALL_STATE(1341)] = 25302, + [SMALL_STATE(1342)] = 25373, + [SMALL_STATE(1343)] = 25444, + [SMALL_STATE(1344)] = 25515, + [SMALL_STATE(1345)] = 25586, + [SMALL_STATE(1346)] = 25657, + [SMALL_STATE(1347)] = 25728, + [SMALL_STATE(1348)] = 25799, + [SMALL_STATE(1349)] = 25870, + [SMALL_STATE(1350)] = 25941, + [SMALL_STATE(1351)] = 26012, + [SMALL_STATE(1352)] = 26083, + [SMALL_STATE(1353)] = 26154, + [SMALL_STATE(1354)] = 26225, + [SMALL_STATE(1355)] = 26296, + [SMALL_STATE(1356)] = 26367, + [SMALL_STATE(1357)] = 26438, + [SMALL_STATE(1358)] = 26509, + [SMALL_STATE(1359)] = 26580, + [SMALL_STATE(1360)] = 26651, + [SMALL_STATE(1361)] = 26722, + [SMALL_STATE(1362)] = 26793, + [SMALL_STATE(1363)] = 26864, + [SMALL_STATE(1364)] = 26935, + [SMALL_STATE(1365)] = 27006, + [SMALL_STATE(1366)] = 27077, + [SMALL_STATE(1367)] = 27148, + [SMALL_STATE(1368)] = 27219, + [SMALL_STATE(1369)] = 27290, + [SMALL_STATE(1370)] = 27361, + [SMALL_STATE(1371)] = 27432, + [SMALL_STATE(1372)] = 27503, + [SMALL_STATE(1373)] = 27574, + [SMALL_STATE(1374)] = 27645, + [SMALL_STATE(1375)] = 27716, + [SMALL_STATE(1376)] = 27787, + [SMALL_STATE(1377)] = 27858, + [SMALL_STATE(1378)] = 27929, + [SMALL_STATE(1379)] = 28000, + [SMALL_STATE(1380)] = 28071, + [SMALL_STATE(1381)] = 28142, + [SMALL_STATE(1382)] = 28213, + [SMALL_STATE(1383)] = 28284, + [SMALL_STATE(1384)] = 28355, + [SMALL_STATE(1385)] = 28426, + [SMALL_STATE(1386)] = 28497, + [SMALL_STATE(1387)] = 28568, + [SMALL_STATE(1388)] = 28639, + [SMALL_STATE(1389)] = 28710, + [SMALL_STATE(1390)] = 28781, + [SMALL_STATE(1391)] = 28852, + [SMALL_STATE(1392)] = 28923, + [SMALL_STATE(1393)] = 28994, + [SMALL_STATE(1394)] = 29065, + [SMALL_STATE(1395)] = 29136, + [SMALL_STATE(1396)] = 29207, + [SMALL_STATE(1397)] = 29278, + [SMALL_STATE(1398)] = 29349, + [SMALL_STATE(1399)] = 29420, + [SMALL_STATE(1400)] = 29491, + [SMALL_STATE(1401)] = 29562, + [SMALL_STATE(1402)] = 29633, + [SMALL_STATE(1403)] = 29704, + [SMALL_STATE(1404)] = 29775, + [SMALL_STATE(1405)] = 29846, + [SMALL_STATE(1406)] = 29917, + [SMALL_STATE(1407)] = 29988, + [SMALL_STATE(1408)] = 30059, + [SMALL_STATE(1409)] = 30130, + [SMALL_STATE(1410)] = 30201, + [SMALL_STATE(1411)] = 30272, + [SMALL_STATE(1412)] = 30343, + [SMALL_STATE(1413)] = 30414, + [SMALL_STATE(1414)] = 30485, + [SMALL_STATE(1415)] = 30556, + [SMALL_STATE(1416)] = 30627, + [SMALL_STATE(1417)] = 30698, + [SMALL_STATE(1418)] = 30769, + [SMALL_STATE(1419)] = 30840, + [SMALL_STATE(1420)] = 30911, + [SMALL_STATE(1421)] = 30982, + [SMALL_STATE(1422)] = 31053, + [SMALL_STATE(1423)] = 31124, + [SMALL_STATE(1424)] = 31195, + [SMALL_STATE(1425)] = 31266, + [SMALL_STATE(1426)] = 31337, + [SMALL_STATE(1427)] = 31408, + [SMALL_STATE(1428)] = 31479, + [SMALL_STATE(1429)] = 31550, + [SMALL_STATE(1430)] = 31621, + [SMALL_STATE(1431)] = 31692, + [SMALL_STATE(1432)] = 31763, + [SMALL_STATE(1433)] = 31834, + [SMALL_STATE(1434)] = 31905, + [SMALL_STATE(1435)] = 31976, + [SMALL_STATE(1436)] = 32047, + [SMALL_STATE(1437)] = 32118, + [SMALL_STATE(1438)] = 32189, + [SMALL_STATE(1439)] = 32260, + [SMALL_STATE(1440)] = 32331, + [SMALL_STATE(1441)] = 32402, + [SMALL_STATE(1442)] = 32473, + [SMALL_STATE(1443)] = 32544, + [SMALL_STATE(1444)] = 32615, + [SMALL_STATE(1445)] = 32686, + [SMALL_STATE(1446)] = 32757, + [SMALL_STATE(1447)] = 32828, + [SMALL_STATE(1448)] = 32899, + [SMALL_STATE(1449)] = 32970, + [SMALL_STATE(1450)] = 33041, + [SMALL_STATE(1451)] = 33112, + [SMALL_STATE(1452)] = 33183, + [SMALL_STATE(1453)] = 33254, + [SMALL_STATE(1454)] = 33325, + [SMALL_STATE(1455)] = 33396, + [SMALL_STATE(1456)] = 33467, + [SMALL_STATE(1457)] = 33538, + [SMALL_STATE(1458)] = 33609, + [SMALL_STATE(1459)] = 33680, + [SMALL_STATE(1460)] = 33751, + [SMALL_STATE(1461)] = 33822, + [SMALL_STATE(1462)] = 33893, + [SMALL_STATE(1463)] = 33964, + [SMALL_STATE(1464)] = 34035, + [SMALL_STATE(1465)] = 34106, + [SMALL_STATE(1466)] = 34177, + [SMALL_STATE(1467)] = 34248, + [SMALL_STATE(1468)] = 34319, + [SMALL_STATE(1469)] = 34390, + [SMALL_STATE(1470)] = 34461, + [SMALL_STATE(1471)] = 34532, + [SMALL_STATE(1472)] = 34603, + [SMALL_STATE(1473)] = 34674, + [SMALL_STATE(1474)] = 34745, + [SMALL_STATE(1475)] = 34816, + [SMALL_STATE(1476)] = 34887, + [SMALL_STATE(1477)] = 34958, + [SMALL_STATE(1478)] = 35029, + [SMALL_STATE(1479)] = 35100, + [SMALL_STATE(1480)] = 35171, + [SMALL_STATE(1481)] = 35242, + [SMALL_STATE(1482)] = 35313, + [SMALL_STATE(1483)] = 35384, + [SMALL_STATE(1484)] = 35455, + [SMALL_STATE(1485)] = 35526, + [SMALL_STATE(1486)] = 35597, + [SMALL_STATE(1487)] = 35668, + [SMALL_STATE(1488)] = 35739, + [SMALL_STATE(1489)] = 35810, + [SMALL_STATE(1490)] = 35881, + [SMALL_STATE(1491)] = 35952, + [SMALL_STATE(1492)] = 36023, + [SMALL_STATE(1493)] = 36094, + [SMALL_STATE(1494)] = 36165, + [SMALL_STATE(1495)] = 36236, + [SMALL_STATE(1496)] = 36307, + [SMALL_STATE(1497)] = 36378, + [SMALL_STATE(1498)] = 36449, + [SMALL_STATE(1499)] = 36520, + [SMALL_STATE(1500)] = 36591, + [SMALL_STATE(1501)] = 36662, + [SMALL_STATE(1502)] = 36733, + [SMALL_STATE(1503)] = 36804, + [SMALL_STATE(1504)] = 36875, + [SMALL_STATE(1505)] = 36946, + [SMALL_STATE(1506)] = 37017, + [SMALL_STATE(1507)] = 37088, + [SMALL_STATE(1508)] = 37159, + [SMALL_STATE(1509)] = 37230, + [SMALL_STATE(1510)] = 37301, + [SMALL_STATE(1511)] = 37372, + [SMALL_STATE(1512)] = 37443, + [SMALL_STATE(1513)] = 37514, + [SMALL_STATE(1514)] = 37585, + [SMALL_STATE(1515)] = 37656, + [SMALL_STATE(1516)] = 37727, + [SMALL_STATE(1517)] = 37798, + [SMALL_STATE(1518)] = 37869, + [SMALL_STATE(1519)] = 37940, + [SMALL_STATE(1520)] = 38011, + [SMALL_STATE(1521)] = 38082, + [SMALL_STATE(1522)] = 38153, + [SMALL_STATE(1523)] = 38224, + [SMALL_STATE(1524)] = 38295, + [SMALL_STATE(1525)] = 38366, + [SMALL_STATE(1526)] = 38437, + [SMALL_STATE(1527)] = 38508, + [SMALL_STATE(1528)] = 38579, + [SMALL_STATE(1529)] = 38650, + [SMALL_STATE(1530)] = 38721, + [SMALL_STATE(1531)] = 38792, + [SMALL_STATE(1532)] = 38863, + [SMALL_STATE(1533)] = 38934, + [SMALL_STATE(1534)] = 39005, + [SMALL_STATE(1535)] = 39076, + [SMALL_STATE(1536)] = 39147, + [SMALL_STATE(1537)] = 39218, + [SMALL_STATE(1538)] = 39289, + [SMALL_STATE(1539)] = 39360, + [SMALL_STATE(1540)] = 39431, + [SMALL_STATE(1541)] = 39502, + [SMALL_STATE(1542)] = 39573, + [SMALL_STATE(1543)] = 39644, + [SMALL_STATE(1544)] = 39715, + [SMALL_STATE(1545)] = 39786, + [SMALL_STATE(1546)] = 39857, + [SMALL_STATE(1547)] = 39928, + [SMALL_STATE(1548)] = 39999, + [SMALL_STATE(1549)] = 40070, + [SMALL_STATE(1550)] = 40141, + [SMALL_STATE(1551)] = 40212, + [SMALL_STATE(1552)] = 40283, + [SMALL_STATE(1553)] = 40354, + [SMALL_STATE(1554)] = 40425, + [SMALL_STATE(1555)] = 40496, + [SMALL_STATE(1556)] = 40567, + [SMALL_STATE(1557)] = 40638, + [SMALL_STATE(1558)] = 40709, + [SMALL_STATE(1559)] = 40780, + [SMALL_STATE(1560)] = 40877, + [SMALL_STATE(1561)] = 40948, + [SMALL_STATE(1562)] = 41019, + [SMALL_STATE(1563)] = 41090, + [SMALL_STATE(1564)] = 41161, + [SMALL_STATE(1565)] = 41232, + [SMALL_STATE(1566)] = 41303, + [SMALL_STATE(1567)] = 41374, + [SMALL_STATE(1568)] = 41445, + [SMALL_STATE(1569)] = 41516, + [SMALL_STATE(1570)] = 41587, + [SMALL_STATE(1571)] = 41658, + [SMALL_STATE(1572)] = 41729, + [SMALL_STATE(1573)] = 41800, + [SMALL_STATE(1574)] = 41871, + [SMALL_STATE(1575)] = 41942, + [SMALL_STATE(1576)] = 42013, + [SMALL_STATE(1577)] = 42084, + [SMALL_STATE(1578)] = 42155, + [SMALL_STATE(1579)] = 42226, + [SMALL_STATE(1580)] = 42297, + [SMALL_STATE(1581)] = 42368, + [SMALL_STATE(1582)] = 42439, + [SMALL_STATE(1583)] = 42510, + [SMALL_STATE(1584)] = 42581, + [SMALL_STATE(1585)] = 42652, + [SMALL_STATE(1586)] = 42723, + [SMALL_STATE(1587)] = 42794, + [SMALL_STATE(1588)] = 42865, + [SMALL_STATE(1589)] = 42936, + [SMALL_STATE(1590)] = 43007, + [SMALL_STATE(1591)] = 43078, + [SMALL_STATE(1592)] = 43149, + [SMALL_STATE(1593)] = 43220, + [SMALL_STATE(1594)] = 43291, + [SMALL_STATE(1595)] = 43362, + [SMALL_STATE(1596)] = 43433, + [SMALL_STATE(1597)] = 43504, + [SMALL_STATE(1598)] = 43575, + [SMALL_STATE(1599)] = 43646, + [SMALL_STATE(1600)] = 43717, + [SMALL_STATE(1601)] = 43788, + [SMALL_STATE(1602)] = 43859, + [SMALL_STATE(1603)] = 43930, + [SMALL_STATE(1604)] = 44001, + [SMALL_STATE(1605)] = 44072, + [SMALL_STATE(1606)] = 44143, + [SMALL_STATE(1607)] = 44214, + [SMALL_STATE(1608)] = 44285, + [SMALL_STATE(1609)] = 44356, + [SMALL_STATE(1610)] = 44427, + [SMALL_STATE(1611)] = 44498, + [SMALL_STATE(1612)] = 44569, + [SMALL_STATE(1613)] = 44640, + [SMALL_STATE(1614)] = 44711, + [SMALL_STATE(1615)] = 44782, + [SMALL_STATE(1616)] = 44853, + [SMALL_STATE(1617)] = 44924, + [SMALL_STATE(1618)] = 44995, + [SMALL_STATE(1619)] = 45066, + [SMALL_STATE(1620)] = 45137, + [SMALL_STATE(1621)] = 45208, + [SMALL_STATE(1622)] = 45279, + [SMALL_STATE(1623)] = 45350, + [SMALL_STATE(1624)] = 45421, + [SMALL_STATE(1625)] = 45492, + [SMALL_STATE(1626)] = 45563, + [SMALL_STATE(1627)] = 45634, + [SMALL_STATE(1628)] = 45705, + [SMALL_STATE(1629)] = 45776, + [SMALL_STATE(1630)] = 45847, + [SMALL_STATE(1631)] = 45918, + [SMALL_STATE(1632)] = 45989, + [SMALL_STATE(1633)] = 46060, + [SMALL_STATE(1634)] = 46131, + [SMALL_STATE(1635)] = 46202, + [SMALL_STATE(1636)] = 46273, + [SMALL_STATE(1637)] = 46344, + [SMALL_STATE(1638)] = 46415, + [SMALL_STATE(1639)] = 46486, + [SMALL_STATE(1640)] = 46557, + [SMALL_STATE(1641)] = 46628, + [SMALL_STATE(1642)] = 46699, + [SMALL_STATE(1643)] = 46770, + [SMALL_STATE(1644)] = 46841, + [SMALL_STATE(1645)] = 46912, + [SMALL_STATE(1646)] = 46983, + [SMALL_STATE(1647)] = 47054, + [SMALL_STATE(1648)] = 47125, + [SMALL_STATE(1649)] = 47196, + [SMALL_STATE(1650)] = 47267, + [SMALL_STATE(1651)] = 47338, + [SMALL_STATE(1652)] = 47409, + [SMALL_STATE(1653)] = 47480, + [SMALL_STATE(1654)] = 47551, + [SMALL_STATE(1655)] = 47622, + [SMALL_STATE(1656)] = 47693, + [SMALL_STATE(1657)] = 47764, + [SMALL_STATE(1658)] = 47835, + [SMALL_STATE(1659)] = 47906, + [SMALL_STATE(1660)] = 47977, + [SMALL_STATE(1661)] = 48048, + [SMALL_STATE(1662)] = 48119, + [SMALL_STATE(1663)] = 48190, + [SMALL_STATE(1664)] = 48261, + [SMALL_STATE(1665)] = 48332, + [SMALL_STATE(1666)] = 48403, + [SMALL_STATE(1667)] = 48474, + [SMALL_STATE(1668)] = 48545, + [SMALL_STATE(1669)] = 48616, + [SMALL_STATE(1670)] = 48687, + [SMALL_STATE(1671)] = 48758, + [SMALL_STATE(1672)] = 48829, + [SMALL_STATE(1673)] = 48900, + [SMALL_STATE(1674)] = 48971, + [SMALL_STATE(1675)] = 49042, + [SMALL_STATE(1676)] = 49113, + [SMALL_STATE(1677)] = 49184, + [SMALL_STATE(1678)] = 49255, + [SMALL_STATE(1679)] = 49326, + [SMALL_STATE(1680)] = 49397, + [SMALL_STATE(1681)] = 49468, + [SMALL_STATE(1682)] = 49539, + [SMALL_STATE(1683)] = 49610, + [SMALL_STATE(1684)] = 49681, + [SMALL_STATE(1685)] = 49752, + [SMALL_STATE(1686)] = 49823, + [SMALL_STATE(1687)] = 49894, + [SMALL_STATE(1688)] = 49965, + [SMALL_STATE(1689)] = 50036, + [SMALL_STATE(1690)] = 50107, + [SMALL_STATE(1691)] = 50178, + [SMALL_STATE(1692)] = 50249, + [SMALL_STATE(1693)] = 50320, + [SMALL_STATE(1694)] = 50391, + [SMALL_STATE(1695)] = 50462, + [SMALL_STATE(1696)] = 50533, + [SMALL_STATE(1697)] = 50604, + [SMALL_STATE(1698)] = 50675, + [SMALL_STATE(1699)] = 50746, + [SMALL_STATE(1700)] = 50817, + [SMALL_STATE(1701)] = 50888, + [SMALL_STATE(1702)] = 50959, + [SMALL_STATE(1703)] = 51030, + [SMALL_STATE(1704)] = 51101, + [SMALL_STATE(1705)] = 51172, + [SMALL_STATE(1706)] = 51243, + [SMALL_STATE(1707)] = 51314, + [SMALL_STATE(1708)] = 51385, + [SMALL_STATE(1709)] = 51456, + [SMALL_STATE(1710)] = 51527, + [SMALL_STATE(1711)] = 51598, + [SMALL_STATE(1712)] = 51669, + [SMALL_STATE(1713)] = 51740, + [SMALL_STATE(1714)] = 51811, + [SMALL_STATE(1715)] = 51882, + [SMALL_STATE(1716)] = 51953, + [SMALL_STATE(1717)] = 52024, + [SMALL_STATE(1718)] = 52095, + [SMALL_STATE(1719)] = 52166, + [SMALL_STATE(1720)] = 52237, + [SMALL_STATE(1721)] = 52308, + [SMALL_STATE(1722)] = 52379, + [SMALL_STATE(1723)] = 52450, + [SMALL_STATE(1724)] = 52521, + [SMALL_STATE(1725)] = 52592, + [SMALL_STATE(1726)] = 52663, + [SMALL_STATE(1727)] = 52734, + [SMALL_STATE(1728)] = 52805, + [SMALL_STATE(1729)] = 52876, + [SMALL_STATE(1730)] = 52947, + [SMALL_STATE(1731)] = 53018, + [SMALL_STATE(1732)] = 53089, + [SMALL_STATE(1733)] = 53160, + [SMALL_STATE(1734)] = 53231, + [SMALL_STATE(1735)] = 53302, + [SMALL_STATE(1736)] = 53373, + [SMALL_STATE(1737)] = 53444, + [SMALL_STATE(1738)] = 53515, + [SMALL_STATE(1739)] = 53586, + [SMALL_STATE(1740)] = 53657, + [SMALL_STATE(1741)] = 53728, + [SMALL_STATE(1742)] = 53799, + [SMALL_STATE(1743)] = 53870, + [SMALL_STATE(1744)] = 53941, + [SMALL_STATE(1745)] = 54012, + [SMALL_STATE(1746)] = 54083, + [SMALL_STATE(1747)] = 54153, + [SMALL_STATE(1748)] = 54223, + [SMALL_STATE(1749)] = 54293, + [SMALL_STATE(1750)] = 54363, + [SMALL_STATE(1751)] = 54433, + [SMALL_STATE(1752)] = 54503, + [SMALL_STATE(1753)] = 54573, + [SMALL_STATE(1754)] = 54643, + [SMALL_STATE(1755)] = 54713, + [SMALL_STATE(1756)] = 54783, + [SMALL_STATE(1757)] = 54853, + [SMALL_STATE(1758)] = 54923, + [SMALL_STATE(1759)] = 54993, + [SMALL_STATE(1760)] = 55137, + [SMALL_STATE(1761)] = 55207, + [SMALL_STATE(1762)] = 55277, + [SMALL_STATE(1763)] = 55347, + [SMALL_STATE(1764)] = 55437, + [SMALL_STATE(1765)] = 55507, + [SMALL_STATE(1766)] = 55577, + [SMALL_STATE(1767)] = 55647, + [SMALL_STATE(1768)] = 55717, + [SMALL_STATE(1769)] = 55787, + [SMALL_STATE(1770)] = 55857, + [SMALL_STATE(1771)] = 55927, + [SMALL_STATE(1772)] = 55997, + [SMALL_STATE(1773)] = 56067, + [SMALL_STATE(1774)] = 56137, + [SMALL_STATE(1775)] = 56207, + [SMALL_STATE(1776)] = 56277, + [SMALL_STATE(1777)] = 56347, + [SMALL_STATE(1778)] = 56417, + [SMALL_STATE(1779)] = 56487, + [SMALL_STATE(1780)] = 56557, + [SMALL_STATE(1781)] = 56627, + [SMALL_STATE(1782)] = 56697, + [SMALL_STATE(1783)] = 56767, + [SMALL_STATE(1784)] = 56837, + [SMALL_STATE(1785)] = 56907, + [SMALL_STATE(1786)] = 56977, + [SMALL_STATE(1787)] = 57047, + [SMALL_STATE(1788)] = 57117, + [SMALL_STATE(1789)] = 57187, + [SMALL_STATE(1790)] = 57257, + [SMALL_STATE(1791)] = 57327, + [SMALL_STATE(1792)] = 57397, + [SMALL_STATE(1793)] = 57467, + [SMALL_STATE(1794)] = 57537, + [SMALL_STATE(1795)] = 57607, + [SMALL_STATE(1796)] = 57677, + [SMALL_STATE(1797)] = 57747, + [SMALL_STATE(1798)] = 57817, + [SMALL_STATE(1799)] = 57887, + [SMALL_STATE(1800)] = 57957, + [SMALL_STATE(1801)] = 58027, + [SMALL_STATE(1802)] = 58097, + [SMALL_STATE(1803)] = 58167, + [SMALL_STATE(1804)] = 58237, + [SMALL_STATE(1805)] = 58308, + [SMALL_STATE(1806)] = 58377, + [SMALL_STATE(1807)] = 58446, + [SMALL_STATE(1808)] = 58515, + [SMALL_STATE(1809)] = 58584, + [SMALL_STATE(1810)] = 58653, + [SMALL_STATE(1811)] = 58722, + [SMALL_STATE(1812)] = 58791, + [SMALL_STATE(1813)] = 58862, + [SMALL_STATE(1814)] = 58931, + [SMALL_STATE(1815)] = 59000, + [SMALL_STATE(1816)] = 59071, + [SMALL_STATE(1817)] = 59140, + [SMALL_STATE(1818)] = 59225, + [SMALL_STATE(1819)] = 59294, + [SMALL_STATE(1820)] = 59363, + [SMALL_STATE(1821)] = 59432, + [SMALL_STATE(1822)] = 59501, + [SMALL_STATE(1823)] = 59570, + [SMALL_STATE(1824)] = 59641, + [SMALL_STATE(1825)] = 59710, + [SMALL_STATE(1826)] = 59779, + [SMALL_STATE(1827)] = 59848, + [SMALL_STATE(1828)] = 59917, + [SMALL_STATE(1829)] = 59986, + [SMALL_STATE(1830)] = 60055, + [SMALL_STATE(1831)] = 60124, + [SMALL_STATE(1832)] = 60193, + [SMALL_STATE(1833)] = 60262, + [SMALL_STATE(1834)] = 60331, + [SMALL_STATE(1835)] = 60400, + [SMALL_STATE(1836)] = 60469, + [SMALL_STATE(1837)] = 60538, + [SMALL_STATE(1838)] = 60607, + [SMALL_STATE(1839)] = 60676, + [SMALL_STATE(1840)] = 60745, + [SMALL_STATE(1841)] = 60814, + [SMALL_STATE(1842)] = 60883, + [SMALL_STATE(1843)] = 60952, + [SMALL_STATE(1844)] = 61021, + [SMALL_STATE(1845)] = 61090, + [SMALL_STATE(1846)] = 61159, + [SMALL_STATE(1847)] = 61228, + [SMALL_STATE(1848)] = 61297, + [SMALL_STATE(1849)] = 61366, + [SMALL_STATE(1850)] = 61435, + [SMALL_STATE(1851)] = 61504, + [SMALL_STATE(1852)] = 61573, + [SMALL_STATE(1853)] = 61642, + [SMALL_STATE(1854)] = 61711, + [SMALL_STATE(1855)] = 61780, + [SMALL_STATE(1856)] = 61849, + [SMALL_STATE(1857)] = 61918, + [SMALL_STATE(1858)] = 61987, + [SMALL_STATE(1859)] = 62082, + [SMALL_STATE(1860)] = 62151, + [SMALL_STATE(1861)] = 62220, + [SMALL_STATE(1862)] = 62289, + [SMALL_STATE(1863)] = 62358, + [SMALL_STATE(1864)] = 62427, + [SMALL_STATE(1865)] = 62496, + [SMALL_STATE(1866)] = 62565, + [SMALL_STATE(1867)] = 62634, + [SMALL_STATE(1868)] = 62703, + [SMALL_STATE(1869)] = 62772, + [SMALL_STATE(1870)] = 62841, + [SMALL_STATE(1871)] = 62910, + [SMALL_STATE(1872)] = 62979, + [SMALL_STATE(1873)] = 63048, + [SMALL_STATE(1874)] = 63137, + [SMALL_STATE(1875)] = 63206, + [SMALL_STATE(1876)] = 63275, + [SMALL_STATE(1877)] = 63344, + [SMALL_STATE(1878)] = 63413, + [SMALL_STATE(1879)] = 63482, + [SMALL_STATE(1880)] = 63551, + [SMALL_STATE(1881)] = 63620, + [SMALL_STATE(1882)] = 63689, + [SMALL_STATE(1883)] = 63758, + [SMALL_STATE(1884)] = 63842, + [SMALL_STATE(1885)] = 63980, + [SMALL_STATE(1886)] = 64064, + [SMALL_STATE(1887)] = 64148, + [SMALL_STATE(1888)] = 64236, + [SMALL_STATE(1889)] = 64374, + [SMALL_STATE(1890)] = 64538, + [SMALL_STATE(1891)] = 64622, + [SMALL_STATE(1892)] = 64724, + [SMALL_STATE(1893)] = 64830, + [SMALL_STATE(1894)] = 64936, + [SMALL_STATE(1895)] = 65024, + [SMALL_STATE(1896)] = 65105, + [SMALL_STATE(1897)] = 65172, + [SMALL_STATE(1898)] = 65239, + [SMALL_STATE(1899)] = 65320, + [SMALL_STATE(1900)] = 65403, + [SMALL_STATE(1901)] = 65484, + [SMALL_STATE(1902)] = 65577, + [SMALL_STATE(1903)] = 65660, + [SMALL_STATE(1904)] = 65743, + [SMALL_STATE(1905)] = 65839, + [SMALL_STATE(1906)] = 65971, + [SMALL_STATE(1907)] = 66057, + [SMALL_STATE(1908)] = 66153, + [SMALL_STATE(1909)] = 66249, + [SMALL_STATE(1910)] = 66343, + [SMALL_STATE(1911)] = 66475, + [SMALL_STATE(1912)] = 66571, + [SMALL_STATE(1913)] = 66667, + [SMALL_STATE(1914)] = 66763, + [SMALL_STATE(1915)] = 66895, + [SMALL_STATE(1916)] = 66981, + [SMALL_STATE(1917)] = 67113, + [SMALL_STATE(1918)] = 67219, + [SMALL_STATE(1919)] = 67325, + [SMALL_STATE(1920)] = 67411, + [SMALL_STATE(1921)] = 67491, + [SMALL_STATE(1922)] = 67577, + [SMALL_STATE(1923)] = 67709, + [SMALL_STATE(1924)] = 67781, + [SMALL_STATE(1925)] = 67855, + [SMALL_STATE(1926)] = 67939, + [SMALL_STATE(1927)] = 68015, + [SMALL_STATE(1928)] = 68097, + [SMALL_STATE(1929)] = 68177, + [SMALL_STATE(1930)] = 68257, + [SMALL_STATE(1931)] = 68331, + [SMALL_STATE(1932)] = 68411, + [SMALL_STATE(1933)] = 68543, + [SMALL_STATE(1934)] = 68625, + [SMALL_STATE(1935)] = 68705, + [SMALL_STATE(1936)] = 68785, + [SMALL_STATE(1937)] = 68857, + [SMALL_STATE(1938)] = 68942, + [SMALL_STATE(1939)] = 69015, + [SMALL_STATE(1940)] = 69080, + [SMALL_STATE(1941)] = 69161, + [SMALL_STATE(1942)] = 69256, + [SMALL_STATE(1943)] = 69335, + [SMALL_STATE(1944)] = 69420, + [SMALL_STATE(1945)] = 69515, + [SMALL_STATE(1946)] = 69610, + [SMALL_STATE(1947)] = 69675, + [SMALL_STATE(1948)] = 69770, + [SMALL_STATE(1949)] = 69851, + [SMALL_STATE(1950)] = 69946, + [SMALL_STATE(1951)] = 70031, + [SMALL_STATE(1952)] = 70112, + [SMALL_STATE(1953)] = 70217, + [SMALL_STATE(1954)] = 70312, + [SMALL_STATE(1955)] = 70405, + [SMALL_STATE(1956)] = 70484, + [SMALL_STATE(1957)] = 70563, + [SMALL_STATE(1958)] = 70638, + [SMALL_STATE(1959)] = 70717, + [SMALL_STATE(1960)] = 70798, + [SMALL_STATE(1961)] = 70863, + [SMALL_STATE(1962)] = 70928, + [SMALL_STATE(1963)] = 70993, + [SMALL_STATE(1964)] = 71058, + [SMALL_STATE(1965)] = 71123, + [SMALL_STATE(1966)] = 71188, + [SMALL_STATE(1967)] = 71273, + [SMALL_STATE(1968)] = 71352, + [SMALL_STATE(1969)] = 71417, + [SMALL_STATE(1970)] = 71482, + [SMALL_STATE(1971)] = 71587, + [SMALL_STATE(1972)] = 71652, + [SMALL_STATE(1973)] = 71717, + [SMALL_STATE(1974)] = 71819, + [SMALL_STATE(1975)] = 71883, + [SMALL_STATE(1976)] = 71963, + [SMALL_STATE(1977)] = 72027, + [SMALL_STATE(1978)] = 72107, + [SMALL_STATE(1979)] = 72205, + [SMALL_STATE(1980)] = 72269, + [SMALL_STATE(1981)] = 72333, + [SMALL_STATE(1982)] = 72435, + [SMALL_STATE(1983)] = 72561, + [SMALL_STATE(1984)] = 72625, + [SMALL_STATE(1985)] = 72745, + [SMALL_STATE(1986)] = 72809, + [SMALL_STATE(1987)] = 72873, + [SMALL_STATE(1988)] = 72937, + [SMALL_STATE(1989)] = 73001, + [SMALL_STATE(1990)] = 73065, + [SMALL_STATE(1991)] = 73129, + [SMALL_STATE(1992)] = 73223, + [SMALL_STATE(1993)] = 73349, + [SMALL_STATE(1994)] = 73427, + [SMALL_STATE(1995)] = 73505, + [SMALL_STATE(1996)] = 73631, + [SMALL_STATE(1997)] = 73695, + [SMALL_STATE(1998)] = 73815, + [SMALL_STATE(1999)] = 73879, + [SMALL_STATE(2000)] = 73943, + [SMALL_STATE(2001)] = 74023, + [SMALL_STATE(2002)] = 74087, + [SMALL_STATE(2003)] = 74151, + [SMALL_STATE(2004)] = 74215, + [SMALL_STATE(2005)] = 74279, + [SMALL_STATE(2006)] = 74343, + [SMALL_STATE(2007)] = 74407, + [SMALL_STATE(2008)] = 74471, + [SMALL_STATE(2009)] = 74535, + [SMALL_STATE(2010)] = 74599, + [SMALL_STATE(2011)] = 74663, + [SMALL_STATE(2012)] = 74727, + [SMALL_STATE(2013)] = 74791, + [SMALL_STATE(2014)] = 74875, + [SMALL_STATE(2015)] = 74955, + [SMALL_STATE(2016)] = 75049, + [SMALL_STATE(2017)] = 75153, + [SMALL_STATE(2018)] = 75247, + [SMALL_STATE(2019)] = 75311, + [SMALL_STATE(2020)] = 75375, + [SMALL_STATE(2021)] = 75469, + [SMALL_STATE(2022)] = 75533, + [SMALL_STATE(2023)] = 75597, + [SMALL_STATE(2024)] = 75661, + [SMALL_STATE(2025)] = 75725, + [SMALL_STATE(2026)] = 75791, + [SMALL_STATE(2027)] = 75895, + [SMALL_STATE(2028)] = 76019, + [SMALL_STATE(2029)] = 76093, + [SMALL_STATE(2030)] = 76157, + [SMALL_STATE(2031)] = 76221, + [SMALL_STATE(2032)] = 76285, + [SMALL_STATE(2033)] = 76349, + [SMALL_STATE(2034)] = 76413, + [SMALL_STATE(2035)] = 76497, + [SMALL_STATE(2036)] = 76591, + [SMALL_STATE(2037)] = 76683, + [SMALL_STATE(2038)] = 76747, + [SMALL_STATE(2039)] = 76811, + [SMALL_STATE(2040)] = 76895, + [SMALL_STATE(2041)] = 76975, + [SMALL_STATE(2042)] = 77059, + [SMALL_STATE(2043)] = 77139, + [SMALL_STATE(2044)] = 77203, + [SMALL_STATE(2045)] = 77305, + [SMALL_STATE(2046)] = 77407, + [SMALL_STATE(2047)] = 77527, + [SMALL_STATE(2048)] = 77625, + [SMALL_STATE(2049)] = 77727, + [SMALL_STATE(2050)] = 77829, + [SMALL_STATE(2051)] = 77955, + [SMALL_STATE(2052)] = 78019, + [SMALL_STATE(2053)] = 78083, + [SMALL_STATE(2054)] = 78209, + [SMALL_STATE(2055)] = 78289, + [SMALL_STATE(2056)] = 78361, + [SMALL_STATE(2057)] = 78427, + [SMALL_STATE(2058)] = 78505, + [SMALL_STATE(2059)] = 78631, + [SMALL_STATE(2060)] = 78695, + [SMALL_STATE(2061)] = 78759, + [SMALL_STATE(2062)] = 78823, + [SMALL_STATE(2063)] = 78901, + [SMALL_STATE(2064)] = 78999, + [SMALL_STATE(2065)] = 79063, + [SMALL_STATE(2066)] = 79127, + [SMALL_STATE(2067)] = 79191, + [SMALL_STATE(2068)] = 79255, + [SMALL_STATE(2069)] = 79375, + [SMALL_STATE(2070)] = 79469, + [SMALL_STATE(2071)] = 79533, + [SMALL_STATE(2072)] = 79597, + [SMALL_STATE(2073)] = 79661, + [SMALL_STATE(2074)] = 79725, + [SMALL_STATE(2075)] = 79789, + [SMALL_STATE(2076)] = 79853, + [SMALL_STATE(2077)] = 79931, + [SMALL_STATE(2078)] = 79995, + [SMALL_STATE(2079)] = 80114, + [SMALL_STATE(2080)] = 80231, + [SMALL_STATE(2081)] = 80294, + [SMALL_STATE(2082)] = 80357, + [SMALL_STATE(2083)] = 80474, + [SMALL_STATE(2084)] = 80593, + [SMALL_STATE(2085)] = 80656, + [SMALL_STATE(2086)] = 80777, + [SMALL_STATE(2087)] = 80896, + [SMALL_STATE(2088)] = 80959, + [SMALL_STATE(2089)] = 81022, + [SMALL_STATE(2090)] = 81085, + [SMALL_STATE(2091)] = 81204, + [SMALL_STATE(2092)] = 81267, + [SMALL_STATE(2093)] = 81388, + [SMALL_STATE(2094)] = 81507, + [SMALL_STATE(2095)] = 81626, + [SMALL_STATE(2096)] = 81691, + [SMALL_STATE(2097)] = 81804, + [SMALL_STATE(2098)] = 81923, + [SMALL_STATE(2099)] = 82012, + [SMALL_STATE(2100)] = 82131, + [SMALL_STATE(2101)] = 82194, + [SMALL_STATE(2102)] = 82311, + [SMALL_STATE(2103)] = 82374, + [SMALL_STATE(2104)] = 82437, + [SMALL_STATE(2105)] = 82514, + [SMALL_STATE(2106)] = 82633, + [SMALL_STATE(2107)] = 82752, + [SMALL_STATE(2108)] = 82871, + [SMALL_STATE(2109)] = 82992, + [SMALL_STATE(2110)] = 83111, + [SMALL_STATE(2111)] = 83230, + [SMALL_STATE(2112)] = 83307, + [SMALL_STATE(2113)] = 83386, + [SMALL_STATE(2114)] = 83499, + [SMALL_STATE(2115)] = 83570, + [SMALL_STATE(2116)] = 83689, + [SMALL_STATE(2117)] = 83810, + [SMALL_STATE(2118)] = 83929, + [SMALL_STATE(2119)] = 84048, + [SMALL_STATE(2120)] = 84127, + [SMALL_STATE(2121)] = 84206, + [SMALL_STATE(2122)] = 84269, + [SMALL_STATE(2123)] = 84362, + [SMALL_STATE(2124)] = 84441, + [SMALL_STATE(2125)] = 84558, + [SMALL_STATE(2126)] = 84675, + [SMALL_STATE(2127)] = 84742, + [SMALL_STATE(2128)] = 84819, + [SMALL_STATE(2129)] = 84936, + [SMALL_STATE(2130)] = 85048, + [SMALL_STATE(2131)] = 85124, + [SMALL_STATE(2132)] = 85216, + [SMALL_STATE(2133)] = 85308, + [SMALL_STATE(2134)] = 85400, + [SMALL_STATE(2135)] = 85478, + [SMALL_STATE(2136)] = 85556, + [SMALL_STATE(2137)] = 85674, + [SMALL_STATE(2138)] = 85736, + [SMALL_STATE(2139)] = 85854, + [SMALL_STATE(2140)] = 85972, + [SMALL_STATE(2141)] = 86092, + [SMALL_STATE(2142)] = 86180, + [SMALL_STATE(2143)] = 86272, + [SMALL_STATE(2144)] = 86334, + [SMALL_STATE(2145)] = 86398, + [SMALL_STATE(2146)] = 86516, + [SMALL_STATE(2147)] = 86632, + [SMALL_STATE(2148)] = 86708, + [SMALL_STATE(2149)] = 86784, + [SMALL_STATE(2150)] = 86902, + [SMALL_STATE(2151)] = 87022, + [SMALL_STATE(2152)] = 87098, + [SMALL_STATE(2153)] = 87174, + [SMALL_STATE(2154)] = 87246, + [SMALL_STATE(2155)] = 87348, + [SMALL_STATE(2156)] = 87450, + [SMALL_STATE(2157)] = 87570, + [SMALL_STATE(2158)] = 87662, + [SMALL_STATE(2159)] = 87782, + [SMALL_STATE(2160)] = 87902, + [SMALL_STATE(2161)] = 87994, + [SMALL_STATE(2162)] = 88072, + [SMALL_STATE(2163)] = 88192, + [SMALL_STATE(2164)] = 88254, + [SMALL_STATE(2165)] = 88344, + [SMALL_STATE(2166)] = 88436, + [SMALL_STATE(2167)] = 88518, + [SMALL_STATE(2168)] = 88610, + [SMALL_STATE(2169)] = 88702, + [SMALL_STATE(2170)] = 88764, + [SMALL_STATE(2171)] = 88826, + [SMALL_STATE(2172)] = 88944, + [SMALL_STATE(2173)] = 89026, + [SMALL_STATE(2174)] = 89146, + [SMALL_STATE(2175)] = 89228, + [SMALL_STATE(2176)] = 89318, + [SMALL_STATE(2177)] = 89400, + [SMALL_STATE(2178)] = 89478, + [SMALL_STATE(2179)] = 89570, + [SMALL_STATE(2180)] = 89646, + [SMALL_STATE(2181)] = 89736, + [SMALL_STATE(2182)] = 89812, + [SMALL_STATE(2183)] = 89932, + [SMALL_STATE(2184)] = 90014, + [SMALL_STATE(2185)] = 90106, + [SMALL_STATE(2186)] = 90184, + [SMALL_STATE(2187)] = 90260, + [SMALL_STATE(2188)] = 90352, + [SMALL_STATE(2189)] = 90418, + [SMALL_STATE(2190)] = 90494, + [SMALL_STATE(2191)] = 90572, + [SMALL_STATE(2192)] = 90634, + [SMALL_STATE(2193)] = 90716, + [SMALL_STATE(2194)] = 90780, + [SMALL_STATE(2195)] = 90900, + [SMALL_STATE(2196)] = 90976, + [SMALL_STATE(2197)] = 91052, + [SMALL_STATE(2198)] = 91130, + [SMALL_STATE(2199)] = 91208, + [SMALL_STATE(2200)] = 91300, + [SMALL_STATE(2201)] = 91376, + [SMALL_STATE(2202)] = 91452, + [SMALL_STATE(2203)] = 91514, + [SMALL_STATE(2204)] = 91596, + [SMALL_STATE(2205)] = 91688, + [SMALL_STATE(2206)] = 91750, + [SMALL_STATE(2207)] = 91848, + [SMALL_STATE(2208)] = 91966, + [SMALL_STATE(2209)] = 92028, + [SMALL_STATE(2210)] = 92090, + [SMALL_STATE(2211)] = 92206, + [SMALL_STATE(2212)] = 92282, + [SMALL_STATE(2213)] = 92398, + [SMALL_STATE(2214)] = 92490, + [SMALL_STATE(2215)] = 92610, + [SMALL_STATE(2216)] = 92702, + [SMALL_STATE(2217)] = 92780, + [SMALL_STATE(2218)] = 92900, + [SMALL_STATE(2219)] = 92982, + [SMALL_STATE(2220)] = 93060, + [SMALL_STATE(2221)] = 93138, + [SMALL_STATE(2222)] = 93230, + [SMALL_STATE(2223)] = 93332, + [SMALL_STATE(2224)] = 93404, + [SMALL_STATE(2225)] = 93496, + [SMALL_STATE(2226)] = 93598, + [SMALL_STATE(2227)] = 93718, + [SMALL_STATE(2228)] = 93800, + [SMALL_STATE(2229)] = 93878, + [SMALL_STATE(2230)] = 93976, + [SMALL_STATE(2231)] = 94078, + [SMALL_STATE(2232)] = 94150, + [SMALL_STATE(2233)] = 94270, + [SMALL_STATE(2234)] = 94372, + [SMALL_STATE(2235)] = 94433, + [SMALL_STATE(2236)] = 94494, + [SMALL_STATE(2237)] = 94555, + [SMALL_STATE(2238)] = 94616, + [SMALL_STATE(2239)] = 94677, + [SMALL_STATE(2240)] = 94738, + [SMALL_STATE(2241)] = 94799, + [SMALL_STATE(2242)] = 94860, + [SMALL_STATE(2243)] = 94935, + [SMALL_STATE(2244)] = 94998, + [SMALL_STATE(2245)] = 95059, + [SMALL_STATE(2246)] = 95120, + [SMALL_STATE(2247)] = 95181, + [SMALL_STATE(2248)] = 95242, + [SMALL_STATE(2249)] = 95303, + [SMALL_STATE(2250)] = 95364, + [SMALL_STATE(2251)] = 95425, + [SMALL_STATE(2252)] = 95486, + [SMALL_STATE(2253)] = 95547, + [SMALL_STATE(2254)] = 95616, + [SMALL_STATE(2255)] = 95677, + [SMALL_STATE(2256)] = 95746, + [SMALL_STATE(2257)] = 95807, + [SMALL_STATE(2258)] = 95868, + [SMALL_STATE(2259)] = 95929, + [SMALL_STATE(2260)] = 95990, + [SMALL_STATE(2261)] = 96051, + [SMALL_STATE(2262)] = 96112, + [SMALL_STATE(2263)] = 96189, + [SMALL_STATE(2264)] = 96250, + [SMALL_STATE(2265)] = 96311, + [SMALL_STATE(2266)] = 96372, + [SMALL_STATE(2267)] = 96433, + [SMALL_STATE(2268)] = 96494, + [SMALL_STATE(2269)] = 96555, + [SMALL_STATE(2270)] = 96616, + [SMALL_STATE(2271)] = 96677, + [SMALL_STATE(2272)] = 96738, + [SMALL_STATE(2273)] = 96799, + [SMALL_STATE(2274)] = 96860, + [SMALL_STATE(2275)] = 96921, + [SMALL_STATE(2276)] = 96982, + [SMALL_STATE(2277)] = 97043, + [SMALL_STATE(2278)] = 97104, + [SMALL_STATE(2279)] = 97165, + [SMALL_STATE(2280)] = 97226, + [SMALL_STATE(2281)] = 97287, + [SMALL_STATE(2282)] = 97348, + [SMALL_STATE(2283)] = 97409, + [SMALL_STATE(2284)] = 97470, + [SMALL_STATE(2285)] = 97531, + [SMALL_STATE(2286)] = 97592, + [SMALL_STATE(2287)] = 97653, + [SMALL_STATE(2288)] = 97714, + [SMALL_STATE(2289)] = 97775, + [SMALL_STATE(2290)] = 97836, + [SMALL_STATE(2291)] = 97903, + [SMALL_STATE(2292)] = 97964, + [SMALL_STATE(2293)] = 98039, + [SMALL_STATE(2294)] = 98100, + [SMALL_STATE(2295)] = 98161, + [SMALL_STATE(2296)] = 98224, + [SMALL_STATE(2297)] = 98285, + [SMALL_STATE(2298)] = 98360, + [SMALL_STATE(2299)] = 98421, + [SMALL_STATE(2300)] = 98482, + [SMALL_STATE(2301)] = 98543, + [SMALL_STATE(2302)] = 98604, + [SMALL_STATE(2303)] = 98665, + [SMALL_STATE(2304)] = 98726, + [SMALL_STATE(2305)] = 98787, + [SMALL_STATE(2306)] = 98848, + [SMALL_STATE(2307)] = 98909, + [SMALL_STATE(2308)] = 98970, + [SMALL_STATE(2309)] = 99031, + [SMALL_STATE(2310)] = 99092, + [SMALL_STATE(2311)] = 99153, + [SMALL_STATE(2312)] = 99214, + [SMALL_STATE(2313)] = 99275, + [SMALL_STATE(2314)] = 99336, + [SMALL_STATE(2315)] = 99397, + [SMALL_STATE(2316)] = 99458, + [SMALL_STATE(2317)] = 99519, + [SMALL_STATE(2318)] = 99580, + [SMALL_STATE(2319)] = 99641, + [SMALL_STATE(2320)] = 99702, + [SMALL_STATE(2321)] = 99763, + [SMALL_STATE(2322)] = 99824, + [SMALL_STATE(2323)] = 99885, + [SMALL_STATE(2324)] = 99946, + [SMALL_STATE(2325)] = 100007, + [SMALL_STATE(2326)] = 100082, + [SMALL_STATE(2327)] = 100157, + [SMALL_STATE(2328)] = 100218, + [SMALL_STATE(2329)] = 100287, + [SMALL_STATE(2330)] = 100348, + [SMALL_STATE(2331)] = 100409, + [SMALL_STATE(2332)] = 100470, + [SMALL_STATE(2333)] = 100531, + [SMALL_STATE(2334)] = 100592, + [SMALL_STATE(2335)] = 100653, + [SMALL_STATE(2336)] = 100714, + [SMALL_STATE(2337)] = 100775, + [SMALL_STATE(2338)] = 100836, + [SMALL_STATE(2339)] = 100897, + [SMALL_STATE(2340)] = 100958, + [SMALL_STATE(2341)] = 101019, + [SMALL_STATE(2342)] = 101080, + [SMALL_STATE(2343)] = 101141, + [SMALL_STATE(2344)] = 101202, + [SMALL_STATE(2345)] = 101293, + [SMALL_STATE(2346)] = 101354, + [SMALL_STATE(2347)] = 101415, + [SMALL_STATE(2348)] = 101476, + [SMALL_STATE(2349)] = 101537, + [SMALL_STATE(2350)] = 101598, + [SMALL_STATE(2351)] = 101659, + [SMALL_STATE(2352)] = 101720, + [SMALL_STATE(2353)] = 101781, + [SMALL_STATE(2354)] = 101842, + [SMALL_STATE(2355)] = 101903, + [SMALL_STATE(2356)] = 101964, + [SMALL_STATE(2357)] = 102039, + [SMALL_STATE(2358)] = 102100, + [SMALL_STATE(2359)] = 102161, + [SMALL_STATE(2360)] = 102222, + [SMALL_STATE(2361)] = 102283, + [SMALL_STATE(2362)] = 102344, + [SMALL_STATE(2363)] = 102405, + [SMALL_STATE(2364)] = 102466, + [SMALL_STATE(2365)] = 102527, + [SMALL_STATE(2366)] = 102588, + [SMALL_STATE(2367)] = 102649, + [SMALL_STATE(2368)] = 102710, + [SMALL_STATE(2369)] = 102771, + [SMALL_STATE(2370)] = 102832, + [SMALL_STATE(2371)] = 102893, + [SMALL_STATE(2372)] = 102954, + [SMALL_STATE(2373)] = 103015, + [SMALL_STATE(2374)] = 103076, + [SMALL_STATE(2375)] = 103137, + [SMALL_STATE(2376)] = 103198, + [SMALL_STATE(2377)] = 103259, + [SMALL_STATE(2378)] = 103320, + [SMALL_STATE(2379)] = 103381, + [SMALL_STATE(2380)] = 103442, + [SMALL_STATE(2381)] = 103503, + [SMALL_STATE(2382)] = 103564, + [SMALL_STATE(2383)] = 103625, + [SMALL_STATE(2384)] = 103686, + [SMALL_STATE(2385)] = 103747, + [SMALL_STATE(2386)] = 103808, + [SMALL_STATE(2387)] = 103869, + [SMALL_STATE(2388)] = 103930, + [SMALL_STATE(2389)] = 103991, + [SMALL_STATE(2390)] = 104052, + [SMALL_STATE(2391)] = 104113, + [SMALL_STATE(2392)] = 104174, + [SMALL_STATE(2393)] = 104235, + [SMALL_STATE(2394)] = 104296, + [SMALL_STATE(2395)] = 104357, + [SMALL_STATE(2396)] = 104418, + [SMALL_STATE(2397)] = 104479, + [SMALL_STATE(2398)] = 104540, + [SMALL_STATE(2399)] = 104601, + [SMALL_STATE(2400)] = 104662, + [SMALL_STATE(2401)] = 104723, + [SMALL_STATE(2402)] = 104784, + [SMALL_STATE(2403)] = 104845, + [SMALL_STATE(2404)] = 104906, + [SMALL_STATE(2405)] = 104996, + [SMALL_STATE(2406)] = 105070, + [SMALL_STATE(2407)] = 105160, + [SMALL_STATE(2408)] = 105224, + [SMALL_STATE(2409)] = 105290, + [SMALL_STATE(2410)] = 105354, + [SMALL_STATE(2411)] = 105420, + [SMALL_STATE(2412)] = 105484, + [SMALL_STATE(2413)] = 105548, + [SMALL_STATE(2414)] = 105634, + [SMALL_STATE(2415)] = 105710, + [SMALL_STATE(2416)] = 105786, + [SMALL_STATE(2417)] = 105862, + [SMALL_STATE(2418)] = 105926, + [SMALL_STATE(2419)] = 106012, + [SMALL_STATE(2420)] = 106076, + [SMALL_STATE(2421)] = 106136, + [SMALL_STATE(2422)] = 106196, + [SMALL_STATE(2423)] = 106276, + [SMALL_STATE(2424)] = 106350, + [SMALL_STATE(2425)] = 106426, + [SMALL_STATE(2426)] = 106506, + [SMALL_STATE(2427)] = 106594, + [SMALL_STATE(2428)] = 106684, + [SMALL_STATE(2429)] = 106764, + [SMALL_STATE(2430)] = 106854, + [SMALL_STATE(2431)] = 106944, + [SMALL_STATE(2432)] = 107034, + [SMALL_STATE(2433)] = 107124, + [SMALL_STATE(2434)] = 107214, + [SMALL_STATE(2435)] = 107304, + [SMALL_STATE(2436)] = 107378, + [SMALL_STATE(2437)] = 107442, + [SMALL_STATE(2438)] = 107532, + [SMALL_STATE(2439)] = 107622, + [SMALL_STATE(2440)] = 107710, + [SMALL_STATE(2441)] = 107790, + [SMALL_STATE(2442)] = 107880, + [SMALL_STATE(2443)] = 107968, + [SMALL_STATE(2444)] = 108038, + [SMALL_STATE(2445)] = 108118, + [SMALL_STATE(2446)] = 108182, + [SMALL_STATE(2447)] = 108258, + [SMALL_STATE(2448)] = 108322, + [SMALL_STATE(2449)] = 108422, + [SMALL_STATE(2450)] = 108522, + [SMALL_STATE(2451)] = 108598, + [SMALL_STATE(2452)] = 108678, + [SMALL_STATE(2453)] = 108752, + [SMALL_STATE(2454)] = 108828, + [SMALL_STATE(2455)] = 108930, + [SMALL_STATE(2456)] = 109032, + [SMALL_STATE(2457)] = 109096, + [SMALL_STATE(2458)] = 109166, + [SMALL_STATE(2459)] = 109234, + [SMALL_STATE(2460)] = 109334, + [SMALL_STATE(2461)] = 109434, + [SMALL_STATE(2462)] = 109508, + [SMALL_STATE(2463)] = 109584, + [SMALL_STATE(2464)] = 109658, + [SMALL_STATE(2465)] = 109738, + [SMALL_STATE(2466)] = 109804, + [SMALL_STATE(2467)] = 109868, + [SMALL_STATE(2468)] = 109934, + [SMALL_STATE(2469)] = 109998, + [SMALL_STATE(2470)] = 110057, + [SMALL_STATE(2471)] = 110138, + [SMALL_STATE(2472)] = 110197, + [SMALL_STATE(2473)] = 110256, + [SMALL_STATE(2474)] = 110315, + [SMALL_STATE(2475)] = 110374, + [SMALL_STATE(2476)] = 110433, + [SMALL_STATE(2477)] = 110492, + [SMALL_STATE(2478)] = 110551, + [SMALL_STATE(2479)] = 110610, + [SMALL_STATE(2480)] = 110669, + [SMALL_STATE(2481)] = 110728, + [SMALL_STATE(2482)] = 110787, + [SMALL_STATE(2483)] = 110846, + [SMALL_STATE(2484)] = 110905, + [SMALL_STATE(2485)] = 110964, + [SMALL_STATE(2486)] = 111023, + [SMALL_STATE(2487)] = 111082, + [SMALL_STATE(2488)] = 111141, + [SMALL_STATE(2489)] = 111200, + [SMALL_STATE(2490)] = 111281, + [SMALL_STATE(2491)] = 111340, + [SMALL_STATE(2492)] = 111399, + [SMALL_STATE(2493)] = 111458, + [SMALL_STATE(2494)] = 111517, + [SMALL_STATE(2495)] = 111576, + [SMALL_STATE(2496)] = 111635, + [SMALL_STATE(2497)] = 111694, + [SMALL_STATE(2498)] = 111753, + [SMALL_STATE(2499)] = 111812, + [SMALL_STATE(2500)] = 111875, + [SMALL_STATE(2501)] = 111938, + [SMALL_STATE(2502)] = 112001, + [SMALL_STATE(2503)] = 112064, + [SMALL_STATE(2504)] = 112123, + [SMALL_STATE(2505)] = 112182, + [SMALL_STATE(2506)] = 112247, + [SMALL_STATE(2507)] = 112306, + [SMALL_STATE(2508)] = 112369, + [SMALL_STATE(2509)] = 112434, + [SMALL_STATE(2510)] = 112493, + [SMALL_STATE(2511)] = 112552, + [SMALL_STATE(2512)] = 112611, + [SMALL_STATE(2513)] = 112670, + [SMALL_STATE(2514)] = 112729, + [SMALL_STATE(2515)] = 112788, + [SMALL_STATE(2516)] = 112847, + [SMALL_STATE(2517)] = 112906, + [SMALL_STATE(2518)] = 112965, + [SMALL_STATE(2519)] = 113024, + [SMALL_STATE(2520)] = 113083, + [SMALL_STATE(2521)] = 113142, + [SMALL_STATE(2522)] = 113209, + [SMALL_STATE(2523)] = 113268, + [SMALL_STATE(2524)] = 113327, + [SMALL_STATE(2525)] = 113386, + [SMALL_STATE(2526)] = 113445, + [SMALL_STATE(2527)] = 113504, + [SMALL_STATE(2528)] = 113563, + [SMALL_STATE(2529)] = 113622, + [SMALL_STATE(2530)] = 113681, + [SMALL_STATE(2531)] = 113740, + [SMALL_STATE(2532)] = 113799, + [SMALL_STATE(2533)] = 113858, + [SMALL_STATE(2534)] = 113917, + [SMALL_STATE(2535)] = 113976, + [SMALL_STATE(2536)] = 114035, + [SMALL_STATE(2537)] = 114094, + [SMALL_STATE(2538)] = 114153, + [SMALL_STATE(2539)] = 114212, + [SMALL_STATE(2540)] = 114271, + [SMALL_STATE(2541)] = 114330, + [SMALL_STATE(2542)] = 114389, + [SMALL_STATE(2543)] = 114448, + [SMALL_STATE(2544)] = 114507, + [SMALL_STATE(2545)] = 114566, + [SMALL_STATE(2546)] = 114625, + [SMALL_STATE(2547)] = 114700, + [SMALL_STATE(2548)] = 114759, + [SMALL_STATE(2549)] = 114818, + [SMALL_STATE(2550)] = 114877, + [SMALL_STATE(2551)] = 114936, + [SMALL_STATE(2552)] = 114995, + [SMALL_STATE(2553)] = 115054, + [SMALL_STATE(2554)] = 115127, + [SMALL_STATE(2555)] = 115186, + [SMALL_STATE(2556)] = 115245, + [SMALL_STATE(2557)] = 115304, + [SMALL_STATE(2558)] = 115363, + [SMALL_STATE(2559)] = 115422, + [SMALL_STATE(2560)] = 115481, + [SMALL_STATE(2561)] = 115540, + [SMALL_STATE(2562)] = 115599, + [SMALL_STATE(2563)] = 115658, + [SMALL_STATE(2564)] = 115717, + [SMALL_STATE(2565)] = 115776, + [SMALL_STATE(2566)] = 115835, + [SMALL_STATE(2567)] = 115894, + [SMALL_STATE(2568)] = 115953, + [SMALL_STATE(2569)] = 116012, + [SMALL_STATE(2570)] = 116071, + [SMALL_STATE(2571)] = 116130, + [SMALL_STATE(2572)] = 116189, + [SMALL_STATE(2573)] = 116248, + [SMALL_STATE(2574)] = 116307, + [SMALL_STATE(2575)] = 116366, + [SMALL_STATE(2576)] = 116425, + [SMALL_STATE(2577)] = 116484, + [SMALL_STATE(2578)] = 116543, + [SMALL_STATE(2579)] = 116602, + [SMALL_STATE(2580)] = 116661, + [SMALL_STATE(2581)] = 116720, + [SMALL_STATE(2582)] = 116779, + [SMALL_STATE(2583)] = 116838, + [SMALL_STATE(2584)] = 116897, + [SMALL_STATE(2585)] = 116956, + [SMALL_STATE(2586)] = 117015, + [SMALL_STATE(2587)] = 117074, + [SMALL_STATE(2588)] = 117133, + [SMALL_STATE(2589)] = 117192, + [SMALL_STATE(2590)] = 117251, + [SMALL_STATE(2591)] = 117310, + [SMALL_STATE(2592)] = 117369, + [SMALL_STATE(2593)] = 117428, + [SMALL_STATE(2594)] = 117487, + [SMALL_STATE(2595)] = 117546, + [SMALL_STATE(2596)] = 117605, + [SMALL_STATE(2597)] = 117664, + [SMALL_STATE(2598)] = 117739, + [SMALL_STATE(2599)] = 117814, + [SMALL_STATE(2600)] = 117873, + [SMALL_STATE(2601)] = 117932, + [SMALL_STATE(2602)] = 117991, + [SMALL_STATE(2603)] = 118050, + [SMALL_STATE(2604)] = 118109, + [SMALL_STATE(2605)] = 118168, + [SMALL_STATE(2606)] = 118227, + [SMALL_STATE(2607)] = 118286, + [SMALL_STATE(2608)] = 118345, + [SMALL_STATE(2609)] = 118404, + [SMALL_STATE(2610)] = 118463, + [SMALL_STATE(2611)] = 118522, + [SMALL_STATE(2612)] = 118581, + [SMALL_STATE(2613)] = 118640, + [SMALL_STATE(2614)] = 118699, + [SMALL_STATE(2615)] = 118758, + [SMALL_STATE(2616)] = 118817, + [SMALL_STATE(2617)] = 118876, + [SMALL_STATE(2618)] = 118935, + [SMALL_STATE(2619)] = 118994, + [SMALL_STATE(2620)] = 119053, + [SMALL_STATE(2621)] = 119112, + [SMALL_STATE(2622)] = 119171, + [SMALL_STATE(2623)] = 119230, + [SMALL_STATE(2624)] = 119289, + [SMALL_STATE(2625)] = 119348, + [SMALL_STATE(2626)] = 119407, + [SMALL_STATE(2627)] = 119466, + [SMALL_STATE(2628)] = 119525, + [SMALL_STATE(2629)] = 119584, + [SMALL_STATE(2630)] = 119643, + [SMALL_STATE(2631)] = 119702, + [SMALL_STATE(2632)] = 119761, + [SMALL_STATE(2633)] = 119820, + [SMALL_STATE(2634)] = 119879, + [SMALL_STATE(2635)] = 119938, + [SMALL_STATE(2636)] = 119997, + [SMALL_STATE(2637)] = 120056, + [SMALL_STATE(2638)] = 120115, + [SMALL_STATE(2639)] = 120174, + [SMALL_STATE(2640)] = 120233, + [SMALL_STATE(2641)] = 120292, + [SMALL_STATE(2642)] = 120351, + [SMALL_STATE(2643)] = 120410, + [SMALL_STATE(2644)] = 120469, + [SMALL_STATE(2645)] = 120528, + [SMALL_STATE(2646)] = 120587, + [SMALL_STATE(2647)] = 120646, + [SMALL_STATE(2648)] = 120705, + [SMALL_STATE(2649)] = 120764, + [SMALL_STATE(2650)] = 120823, + [SMALL_STATE(2651)] = 120882, + [SMALL_STATE(2652)] = 120941, + [SMALL_STATE(2653)] = 121000, + [SMALL_STATE(2654)] = 121059, + [SMALL_STATE(2655)] = 121118, + [SMALL_STATE(2656)] = 121177, + [SMALL_STATE(2657)] = 121236, + [SMALL_STATE(2658)] = 121295, + [SMALL_STATE(2659)] = 121354, + [SMALL_STATE(2660)] = 121413, + [SMALL_STATE(2661)] = 121472, + [SMALL_STATE(2662)] = 121531, + [SMALL_STATE(2663)] = 121590, + [SMALL_STATE(2664)] = 121649, + [SMALL_STATE(2665)] = 121708, + [SMALL_STATE(2666)] = 121767, + [SMALL_STATE(2667)] = 121826, + [SMALL_STATE(2668)] = 121885, + [SMALL_STATE(2669)] = 121944, + [SMALL_STATE(2670)] = 122003, + [SMALL_STATE(2671)] = 122062, + [SMALL_STATE(2672)] = 122121, + [SMALL_STATE(2673)] = 122180, + [SMALL_STATE(2674)] = 122239, + [SMALL_STATE(2675)] = 122298, + [SMALL_STATE(2676)] = 122357, + [SMALL_STATE(2677)] = 122416, + [SMALL_STATE(2678)] = 122475, + [SMALL_STATE(2679)] = 122534, + [SMALL_STATE(2680)] = 122593, + [SMALL_STATE(2681)] = 122652, + [SMALL_STATE(2682)] = 122711, + [SMALL_STATE(2683)] = 122770, + [SMALL_STATE(2684)] = 122829, + [SMALL_STATE(2685)] = 122888, + [SMALL_STATE(2686)] = 122947, + [SMALL_STATE(2687)] = 123006, + [SMALL_STATE(2688)] = 123065, + [SMALL_STATE(2689)] = 123124, + [SMALL_STATE(2690)] = 123183, + [SMALL_STATE(2691)] = 123248, + [SMALL_STATE(2692)] = 123311, + [SMALL_STATE(2693)] = 123376, + [SMALL_STATE(2694)] = 123439, + [SMALL_STATE(2695)] = 123502, + [SMALL_STATE(2696)] = 123565, + [SMALL_STATE(2697)] = 123628, + [SMALL_STATE(2698)] = 123687, + [SMALL_STATE(2699)] = 123746, + [SMALL_STATE(2700)] = 123805, + [SMALL_STATE(2701)] = 123864, + [SMALL_STATE(2702)] = 123923, + [SMALL_STATE(2703)] = 123982, + [SMALL_STATE(2704)] = 124041, + [SMALL_STATE(2705)] = 124100, + [SMALL_STATE(2706)] = 124159, + [SMALL_STATE(2707)] = 124218, + [SMALL_STATE(2708)] = 124277, + [SMALL_STATE(2709)] = 124336, + [SMALL_STATE(2710)] = 124395, + [SMALL_STATE(2711)] = 124454, + [SMALL_STATE(2712)] = 124513, + [SMALL_STATE(2713)] = 124572, + [SMALL_STATE(2714)] = 124631, + [SMALL_STATE(2715)] = 124690, + [SMALL_STATE(2716)] = 124749, + [SMALL_STATE(2717)] = 124808, + [SMALL_STATE(2718)] = 124867, + [SMALL_STATE(2719)] = 124926, + [SMALL_STATE(2720)] = 124985, + [SMALL_STATE(2721)] = 125044, + [SMALL_STATE(2722)] = 125103, + [SMALL_STATE(2723)] = 125162, + [SMALL_STATE(2724)] = 125221, + [SMALL_STATE(2725)] = 125280, + [SMALL_STATE(2726)] = 125339, + [SMALL_STATE(2727)] = 125398, + [SMALL_STATE(2728)] = 125457, + [SMALL_STATE(2729)] = 125516, + [SMALL_STATE(2730)] = 125575, + [SMALL_STATE(2731)] = 125634, + [SMALL_STATE(2732)] = 125693, + [SMALL_STATE(2733)] = 125752, + [SMALL_STATE(2734)] = 125811, + [SMALL_STATE(2735)] = 125878, + [SMALL_STATE(2736)] = 125937, + [SMALL_STATE(2737)] = 125996, + [SMALL_STATE(2738)] = 126055, + [SMALL_STATE(2739)] = 126114, + [SMALL_STATE(2740)] = 126173, + [SMALL_STATE(2741)] = 126232, + [SMALL_STATE(2742)] = 126291, + [SMALL_STATE(2743)] = 126350, + [SMALL_STATE(2744)] = 126409, + [SMALL_STATE(2745)] = 126468, + [SMALL_STATE(2746)] = 126527, + [SMALL_STATE(2747)] = 126640, + [SMALL_STATE(2748)] = 126699, + [SMALL_STATE(2749)] = 126758, + [SMALL_STATE(2750)] = 126817, + [SMALL_STATE(2751)] = 126876, + [SMALL_STATE(2752)] = 126935, + [SMALL_STATE(2753)] = 126994, + [SMALL_STATE(2754)] = 127053, + [SMALL_STATE(2755)] = 127112, + [SMALL_STATE(2756)] = 127171, + [SMALL_STATE(2757)] = 127230, + [SMALL_STATE(2758)] = 127289, + [SMALL_STATE(2759)] = 127348, + [SMALL_STATE(2760)] = 127407, + [SMALL_STATE(2761)] = 127466, + [SMALL_STATE(2762)] = 127525, + [SMALL_STATE(2763)] = 127584, + [SMALL_STATE(2764)] = 127643, + [SMALL_STATE(2765)] = 127702, + [SMALL_STATE(2766)] = 127761, + [SMALL_STATE(2767)] = 127826, + [SMALL_STATE(2768)] = 127891, + [SMALL_STATE(2769)] = 127950, + [SMALL_STATE(2770)] = 128009, + [SMALL_STATE(2771)] = 128068, + [SMALL_STATE(2772)] = 128126, + [SMALL_STATE(2773)] = 128184, + [SMALL_STATE(2774)] = 128242, + [SMALL_STATE(2775)] = 128336, + [SMALL_STATE(2776)] = 128410, + [SMALL_STATE(2777)] = 128484, + [SMALL_STATE(2778)] = 128542, + [SMALL_STATE(2779)] = 128600, + [SMALL_STATE(2780)] = 128688, + [SMALL_STATE(2781)] = 128746, + [SMALL_STATE(2782)] = 128844, + [SMALL_STATE(2783)] = 128932, + [SMALL_STATE(2784)] = 129004, + [SMALL_STATE(2785)] = 129062, + [SMALL_STATE(2786)] = 129120, + [SMALL_STATE(2787)] = 129192, + [SMALL_STATE(2788)] = 129256, + [SMALL_STATE(2789)] = 129314, + [SMALL_STATE(2790)] = 129386, + [SMALL_STATE(2791)] = 129454, + [SMALL_STATE(2792)] = 129526, + [SMALL_STATE(2793)] = 129584, + [SMALL_STATE(2794)] = 129684, + [SMALL_STATE(2795)] = 129748, + [SMALL_STATE(2796)] = 129810, + [SMALL_STATE(2797)] = 129874, + [SMALL_STATE(2798)] = 129972, + [SMALL_STATE(2799)] = 130044, + [SMALL_STATE(2800)] = 130102, + [SMALL_STATE(2801)] = 130164, + [SMALL_STATE(2802)] = 130222, + [SMALL_STATE(2803)] = 130294, + [SMALL_STATE(2804)] = 130368, + [SMALL_STATE(2805)] = 130446, + [SMALL_STATE(2806)] = 130532, + [SMALL_STATE(2807)] = 130620, + [SMALL_STATE(2808)] = 130678, + [SMALL_STATE(2809)] = 130756, + [SMALL_STATE(2810)] = 130844, + [SMALL_STATE(2811)] = 130932, + [SMALL_STATE(2812)] = 130990, + [SMALL_STATE(2813)] = 131088, + [SMALL_STATE(2814)] = 131186, + [SMALL_STATE(2815)] = 131248, + [SMALL_STATE(2816)] = 131336, + [SMALL_STATE(2817)] = 131424, + [SMALL_STATE(2818)] = 131512, + [SMALL_STATE(2819)] = 131596, + [SMALL_STATE(2820)] = 131690, + [SMALL_STATE(2821)] = 131752, + [SMALL_STATE(2822)] = 131820, + [SMALL_STATE(2823)] = 131914, + [SMALL_STATE(2824)] = 131976, + [SMALL_STATE(2825)] = 132048, + [SMALL_STATE(2826)] = 132122, + [SMALL_STATE(2827)] = 132200, + [SMALL_STATE(2828)] = 132258, + [SMALL_STATE(2829)] = 132316, + [SMALL_STATE(2830)] = 132402, + [SMALL_STATE(2831)] = 132460, + [SMALL_STATE(2832)] = 132558, + [SMALL_STATE(2833)] = 132616, + [SMALL_STATE(2834)] = 132676, + [SMALL_STATE(2835)] = 132764, + [SMALL_STATE(2836)] = 132842, + [SMALL_STATE(2837)] = 132916, + [SMALL_STATE(2838)] = 132978, + [SMALL_STATE(2839)] = 133040, + [SMALL_STATE(2840)] = 133102, + [SMALL_STATE(2841)] = 133164, + [SMALL_STATE(2842)] = 133252, + [SMALL_STATE(2843)] = 133316, + [SMALL_STATE(2844)] = 133378, + [SMALL_STATE(2845)] = 133436, + [SMALL_STATE(2846)] = 133494, + [SMALL_STATE(2847)] = 133582, + [SMALL_STATE(2848)] = 133676, + [SMALL_STATE(2849)] = 133734, + [SMALL_STATE(2850)] = 133822, + [SMALL_STATE(2851)] = 133880, + [SMALL_STATE(2852)] = 133952, + [SMALL_STATE(2853)] = 134024, + [SMALL_STATE(2854)] = 134098, + [SMALL_STATE(2855)] = 134176, + [SMALL_STATE(2856)] = 134270, + [SMALL_STATE(2857)] = 134348, + [SMALL_STATE(2858)] = 134422, + [SMALL_STATE(2859)] = 134480, + [SMALL_STATE(2860)] = 134554, + [SMALL_STATE(2861)] = 134612, + [SMALL_STATE(2862)] = 134670, + [SMALL_STATE(2863)] = 134744, + [SMALL_STATE(2864)] = 134842, + [SMALL_STATE(2865)] = 134936, + [SMALL_STATE(2866)] = 135034, + [SMALL_STATE(2867)] = 135132, + [SMALL_STATE(2868)] = 135232, + [SMALL_STATE(2869)] = 135303, + [SMALL_STATE(2870)] = 135384, + [SMALL_STATE(2871)] = 135441, + [SMALL_STATE(2872)] = 135506, + [SMALL_STATE(2873)] = 135563, + [SMALL_STATE(2874)] = 135620, + [SMALL_STATE(2875)] = 135677, + [SMALL_STATE(2876)] = 135740, + [SMALL_STATE(2877)] = 135819, + [SMALL_STATE(2878)] = 135876, + [SMALL_STATE(2879)] = 135933, + [SMALL_STATE(2880)] = 135998, + [SMALL_STATE(2881)] = 136069, + [SMALL_STATE(2882)] = 136126, + [SMALL_STATE(2883)] = 136265, + [SMALL_STATE(2884)] = 136322, + [SMALL_STATE(2885)] = 136383, + [SMALL_STATE(2886)] = 136460, + [SMALL_STATE(2887)] = 136517, + [SMALL_STATE(2888)] = 136588, + [SMALL_STATE(2889)] = 136659, + [SMALL_STATE(2890)] = 136730, + [SMALL_STATE(2891)] = 136791, + [SMALL_STATE(2892)] = 136851, + [SMALL_STATE(2893)] = 136911, + [SMALL_STATE(2894)] = 136967, + [SMALL_STATE(2895)] = 137023, + [SMALL_STATE(2896)] = 137083, + [SMALL_STATE(2897)] = 137181, + [SMALL_STATE(2898)] = 137237, + [SMALL_STATE(2899)] = 137299, + [SMALL_STATE(2900)] = 137371, + [SMALL_STATE(2901)] = 137443, + [SMALL_STATE(2902)] = 137501, + [SMALL_STATE(2903)] = 137599, + [SMALL_STATE(2904)] = 137659, + [SMALL_STATE(2905)] = 137721, + [SMALL_STATE(2906)] = 137781, + [SMALL_STATE(2907)] = 137837, + [SMALL_STATE(2908)] = 137913, + [SMALL_STATE(2909)] = 137969, + [SMALL_STATE(2910)] = 138025, + [SMALL_STATE(2911)] = 138155, + [SMALL_STATE(2912)] = 138215, + [SMALL_STATE(2913)] = 138277, + [SMALL_STATE(2914)] = 138337, + [SMALL_STATE(2915)] = 138399, + [SMALL_STATE(2916)] = 138459, + [SMALL_STATE(2917)] = 138521, + [SMALL_STATE(2918)] = 138581, + [SMALL_STATE(2919)] = 138643, + [SMALL_STATE(2920)] = 138703, + [SMALL_STATE(2921)] = 138763, + [SMALL_STATE(2922)] = 138823, + [SMALL_STATE(2923)] = 138883, + [SMALL_STATE(2924)] = 138943, + [SMALL_STATE(2925)] = 139003, + [SMALL_STATE(2926)] = 139063, + [SMALL_STATE(2927)] = 139123, + [SMALL_STATE(2928)] = 139185, + [SMALL_STATE(2929)] = 139247, + [SMALL_STATE(2930)] = 139307, + [SMALL_STATE(2931)] = 139367, + [SMALL_STATE(2932)] = 139429, + [SMALL_STATE(2933)] = 139489, + [SMALL_STATE(2934)] = 139551, + [SMALL_STATE(2935)] = 139611, + [SMALL_STATE(2936)] = 139671, + [SMALL_STATE(2937)] = 139731, + [SMALL_STATE(2938)] = 139791, + [SMALL_STATE(2939)] = 139851, + [SMALL_STATE(2940)] = 139913, + [SMALL_STATE(2941)] = 139973, + [SMALL_STATE(2942)] = 140033, + [SMALL_STATE(2943)] = 140093, + [SMALL_STATE(2944)] = 140155, + [SMALL_STATE(2945)] = 140215, + [SMALL_STATE(2946)] = 140275, + [SMALL_STATE(2947)] = 140405, + [SMALL_STATE(2948)] = 140475, + [SMALL_STATE(2949)] = 140547, + [SMALL_STATE(2950)] = 140619, + [SMALL_STATE(2951)] = 140681, + [SMALL_STATE(2952)] = 140737, + [SMALL_STATE(2953)] = 140793, + [SMALL_STATE(2954)] = 140849, + [SMALL_STATE(2955)] = 140904, + [SMALL_STATE(2956)] = 140959, + [SMALL_STATE(2957)] = 141014, + [SMALL_STATE(2958)] = 141069, + [SMALL_STATE(2959)] = 141124, + [SMALL_STATE(2960)] = 141179, + [SMALL_STATE(2961)] = 141248, + [SMALL_STATE(2962)] = 141303, + [SMALL_STATE(2963)] = 141358, + [SMALL_STATE(2964)] = 141413, + [SMALL_STATE(2965)] = 141468, + [SMALL_STATE(2966)] = 141523, + [SMALL_STATE(2967)] = 141578, + [SMALL_STATE(2968)] = 141683, + [SMALL_STATE(2969)] = 141790, + [SMALL_STATE(2970)] = 141849, + [SMALL_STATE(2971)] = 141956, + [SMALL_STATE(2972)] = 142031, + [SMALL_STATE(2973)] = 142102, + [SMALL_STATE(2974)] = 142157, + [SMALL_STATE(2975)] = 142226, + [SMALL_STATE(2976)] = 142327, + [SMALL_STATE(2977)] = 142396, + [SMALL_STATE(2978)] = 142451, + [SMALL_STATE(2979)] = 142558, + [SMALL_STATE(2980)] = 142629, + [SMALL_STATE(2981)] = 142684, + [SMALL_STATE(2982)] = 142739, + [SMALL_STATE(2983)] = 142794, + [SMALL_STATE(2984)] = 142901, + [SMALL_STATE(2985)] = 142972, + [SMALL_STATE(2986)] = 143079, + [SMALL_STATE(2987)] = 143182, + [SMALL_STATE(2988)] = 143240, + [SMALL_STATE(2989)] = 143298, + [SMALL_STATE(2990)] = 143382, + [SMALL_STATE(2991)] = 143456, + [SMALL_STATE(2992)] = 143540, + [SMALL_STATE(2993)] = 143622, + [SMALL_STATE(2994)] = 143724, + [SMALL_STATE(2995)] = 143794, + [SMALL_STATE(2996)] = 143896, + [SMALL_STATE(2997)] = 143966, + [SMALL_STATE(2998)] = 144068, + [SMALL_STATE(2999)] = 144126, + [SMALL_STATE(3000)] = 144200, + [SMALL_STATE(3001)] = 144270, + [SMALL_STATE(3002)] = 144330, + [SMALL_STATE(3003)] = 144414, + [SMALL_STATE(3004)] = 144482, + [SMALL_STATE(3005)] = 144566, + [SMALL_STATE(3006)] = 144624, + [SMALL_STATE(3007)] = 144694, + [SMALL_STATE(3008)] = 144764, + [SMALL_STATE(3009)] = 144822, + [SMALL_STATE(3010)] = 144880, + [SMALL_STATE(3011)] = 144964, + [SMALL_STATE(3012)] = 145022, + [SMALL_STATE(3013)] = 145080, + [SMALL_STATE(3014)] = 145140, + [SMALL_STATE(3015)] = 145198, + [SMALL_STATE(3016)] = 145258, + [SMALL_STATE(3017)] = 145318, + [SMALL_STATE(3018)] = 145386, + [SMALL_STATE(3019)] = 145454, + [SMALL_STATE(3020)] = 145548, + [SMALL_STATE(3021)] = 145616, + [SMALL_STATE(3022)] = 145684, + [SMALL_STATE(3023)] = 145742, + [SMALL_STATE(3024)] = 145800, + [SMALL_STATE(3025)] = 145860, + [SMALL_STATE(3026)] = 145918, + [SMALL_STATE(3027)] = 145976, + [SMALL_STATE(3028)] = 146034, + [SMALL_STATE(3029)] = 146130, + [SMALL_STATE(3030)] = 146190, + [SMALL_STATE(3031)] = 146248, + [SMALL_STATE(3032)] = 146346, + [SMALL_STATE(3033)] = 146404, + [SMALL_STATE(3034)] = 146464, + [SMALL_STATE(3035)] = 146522, + [SMALL_STATE(3036)] = 146580, + [SMALL_STATE(3037)] = 146638, + [SMALL_STATE(3038)] = 146734, + [SMALL_STATE(3039)] = 146806, + [SMALL_STATE(3040)] = 146880, + [SMALL_STATE(3041)] = 146964, + [SMALL_STATE(3042)] = 147022, + [SMALL_STATE(3043)] = 147080, + [SMALL_STATE(3044)] = 147150, + [SMALL_STATE(3045)] = 147224, + [SMALL_STATE(3046)] = 147294, + [SMALL_STATE(3047)] = 147354, + [SMALL_STATE(3048)] = 147448, + [SMALL_STATE(3049)] = 147542, + [SMALL_STATE(3050)] = 147606, + [SMALL_STATE(3051)] = 147664, + [SMALL_STATE(3052)] = 147731, + [SMALL_STATE(3053)] = 147798, + [SMALL_STATE(3054)] = 147899, + [SMALL_STATE(3055)] = 148000, + [SMALL_STATE(3056)] = 148101, + [SMALL_STATE(3057)] = 148198, + [SMALL_STATE(3058)] = 148299, + [SMALL_STATE(3059)] = 148366, + [SMALL_STATE(3060)] = 148427, + [SMALL_STATE(3061)] = 148494, + [SMALL_STATE(3062)] = 148551, + [SMALL_STATE(3063)] = 148652, + [SMALL_STATE(3064)] = 148753, + [SMALL_STATE(3065)] = 148850, + [SMALL_STATE(3066)] = 148919, + [SMALL_STATE(3067)] = 149020, + [SMALL_STATE(3068)] = 149072, + [SMALL_STATE(3069)] = 149130, + [SMALL_STATE(3070)] = 149188, + [SMALL_STATE(3071)] = 149258, + [SMALL_STATE(3072)] = 149330, + [SMALL_STATE(3073)] = 149386, + [SMALL_STATE(3074)] = 149444, + [SMALL_STATE(3075)] = 149500, + [SMALL_STATE(3076)] = 149596, + [SMALL_STATE(3077)] = 149652, + [SMALL_STATE(3078)] = 149708, + [SMALL_STATE(3079)] = 149764, + [SMALL_STATE(3080)] = 149860, + [SMALL_STATE(3081)] = 149918, + [SMALL_STATE(3082)] = 149974, + [SMALL_STATE(3083)] = 150032, + [SMALL_STATE(3084)] = 150088, + [SMALL_STATE(3085)] = 150156, + [SMALL_STATE(3086)] = 150212, + [SMALL_STATE(3087)] = 150304, + [SMALL_STATE(3088)] = 150400, + [SMALL_STATE(3089)] = 150452, + [SMALL_STATE(3090)] = 150504, + [SMALL_STATE(3091)] = 150558, + [SMALL_STATE(3092)] = 150610, + [SMALL_STATE(3093)] = 150662, + [SMALL_STATE(3094)] = 150714, + [SMALL_STATE(3095)] = 150766, + [SMALL_STATE(3096)] = 150818, + [SMALL_STATE(3097)] = 150876, + [SMALL_STATE(3098)] = 150932, + [SMALL_STATE(3099)] = 150988, + [SMALL_STATE(3100)] = 151044, + [SMALL_STATE(3101)] = 151100, + [SMALL_STATE(3102)] = 151156, + [SMALL_STATE(3103)] = 151212, + [SMALL_STATE(3104)] = 151282, + [SMALL_STATE(3105)] = 151348, + [SMALL_STATE(3106)] = 151444, + [SMALL_STATE(3107)] = 151496, + [SMALL_STATE(3108)] = 151552, + [SMALL_STATE(3109)] = 151618, + [SMALL_STATE(3110)] = 151670, + [SMALL_STATE(3111)] = 151722, + [SMALL_STATE(3112)] = 151774, + [SMALL_STATE(3113)] = 151842, + [SMALL_STATE(3114)] = 151894, + [SMALL_STATE(3115)] = 151946, + [SMALL_STATE(3116)] = 152006, + [SMALL_STATE(3117)] = 152058, + [SMALL_STATE(3118)] = 152154, + [SMALL_STATE(3119)] = 152206, + [SMALL_STATE(3120)] = 152260, + [SMALL_STATE(3121)] = 152312, + [SMALL_STATE(3122)] = 152364, + [SMALL_STATE(3123)] = 152422, + [SMALL_STATE(3124)] = 152478, + [SMALL_STATE(3125)] = 152536, + [SMALL_STATE(3126)] = 152592, + [SMALL_STATE(3127)] = 152648, + [SMALL_STATE(3128)] = 152704, + [SMALL_STATE(3129)] = 152760, + [SMALL_STATE(3130)] = 152851, + [SMALL_STATE(3131)] = 152918, + [SMALL_STATE(3132)] = 153011, + [SMALL_STATE(3133)] = 153078, + [SMALL_STATE(3134)] = 153145, + [SMALL_STATE(3135)] = 153238, + [SMALL_STATE(3136)] = 153307, + [SMALL_STATE(3137)] = 153400, + [SMALL_STATE(3138)] = 153467, + [SMALL_STATE(3139)] = 153560, + [SMALL_STATE(3140)] = 153627, + [SMALL_STATE(3141)] = 153694, + [SMALL_STATE(3142)] = 153787, + [SMALL_STATE(3143)] = 153882, + [SMALL_STATE(3144)] = 153975, + [SMALL_STATE(3145)] = 154042, + [SMALL_STATE(3146)] = 154109, + [SMALL_STATE(3147)] = 154202, + [SMALL_STATE(3148)] = 154297, + [SMALL_STATE(3149)] = 154348, + [SMALL_STATE(3150)] = 154399, + [SMALL_STATE(3151)] = 154464, + [SMALL_STATE(3152)] = 154531, + [SMALL_STATE(3153)] = 154592, + [SMALL_STATE(3154)] = 154657, + [SMALL_STATE(3155)] = 154708, + [SMALL_STATE(3156)] = 154803, + [SMALL_STATE(3157)] = 154870, + [SMALL_STATE(3158)] = 154965, + [SMALL_STATE(3159)] = 155016, + [SMALL_STATE(3160)] = 155111, + [SMALL_STATE(3161)] = 155206, + [SMALL_STATE(3162)] = 155273, + [SMALL_STATE(3163)] = 155366, + [SMALL_STATE(3164)] = 155433, + [SMALL_STATE(3165)] = 155498, + [SMALL_STATE(3166)] = 155591, + [SMALL_STATE(3167)] = 155642, + [SMALL_STATE(3168)] = 155737, + [SMALL_STATE(3169)] = 155830, + [SMALL_STATE(3170)] = 155923, + [SMALL_STATE(3171)] = 155974, + [SMALL_STATE(3172)] = 156041, + [SMALL_STATE(3173)] = 156134, + [SMALL_STATE(3174)] = 156227, + [SMALL_STATE(3175)] = 156320, + [SMALL_STATE(3176)] = 156401, + [SMALL_STATE(3177)] = 156482, + [SMALL_STATE(3178)] = 156575, + [SMALL_STATE(3179)] = 156666, + [SMALL_STATE(3180)] = 156747, + [SMALL_STATE(3181)] = 156818, + [SMALL_STATE(3182)] = 156899, + [SMALL_STATE(3183)] = 156994, + [SMALL_STATE(3184)] = 157065, + [SMALL_STATE(3185)] = 157158, + [SMALL_STATE(3186)] = 157239, + [SMALL_STATE(3187)] = 157332, + [SMALL_STATE(3188)] = 157403, + [SMALL_STATE(3189)] = 157498, + [SMALL_STATE(3190)] = 157563, + [SMALL_STATE(3191)] = 157644, + [SMALL_STATE(3192)] = 157723, + [SMALL_STATE(3193)] = 157794, + [SMALL_STATE(3194)] = 157861, + [SMALL_STATE(3195)] = 157926, + [SMALL_STATE(3196)] = 158019, + [SMALL_STATE(3197)] = 158110, + [SMALL_STATE(3198)] = 158203, + [SMALL_STATE(3199)] = 158298, + [SMALL_STATE(3200)] = 158378, + [SMALL_STATE(3201)] = 158470, + [SMALL_STATE(3202)] = 158534, + [SMALL_STATE(3203)] = 158626, + [SMALL_STATE(3204)] = 158718, + [SMALL_STATE(3205)] = 158810, + [SMALL_STATE(3206)] = 158874, + [SMALL_STATE(3207)] = 158966, + [SMALL_STATE(3208)] = 159056, + [SMALL_STATE(3209)] = 159114, + [SMALL_STATE(3210)] = 159206, + [SMALL_STATE(3211)] = 159296, + [SMALL_STATE(3212)] = 159386, + [SMALL_STATE(3213)] = 159450, + [SMALL_STATE(3214)] = 159500, + [SMALL_STATE(3215)] = 159550, + [SMALL_STATE(3216)] = 159600, + [SMALL_STATE(3217)] = 159650, + [SMALL_STATE(3218)] = 159714, + [SMALL_STATE(3219)] = 159778, + [SMALL_STATE(3220)] = 159828, + [SMALL_STATE(3221)] = 159892, + [SMALL_STATE(3222)] = 159942, + [SMALL_STATE(3223)] = 159992, + [SMALL_STATE(3224)] = 160042, + [SMALL_STATE(3225)] = 160092, + [SMALL_STATE(3226)] = 160142, + [SMALL_STATE(3227)] = 160192, + [SMALL_STATE(3228)] = 160242, + [SMALL_STATE(3229)] = 160292, + [SMALL_STATE(3230)] = 160384, + [SMALL_STATE(3231)] = 160450, + [SMALL_STATE(3232)] = 160520, + [SMALL_STATE(3233)] = 160612, + [SMALL_STATE(3234)] = 160690, + [SMALL_STATE(3235)] = 160780, + [SMALL_STATE(3236)] = 160830, + [SMALL_STATE(3237)] = 160900, + [SMALL_STATE(3238)] = 160980, + [SMALL_STATE(3239)] = 161060, + [SMALL_STATE(3240)] = 161110, + [SMALL_STATE(3241)] = 161190, + [SMALL_STATE(3242)] = 161250, + [SMALL_STATE(3243)] = 161330, + [SMALL_STATE(3244)] = 161422, + [SMALL_STATE(3245)] = 161502, + [SMALL_STATE(3246)] = 161594, + [SMALL_STATE(3247)] = 161686, + [SMALL_STATE(3248)] = 161778, + [SMALL_STATE(3249)] = 161842, + [SMALL_STATE(3250)] = 161934, + [SMALL_STATE(3251)] = 161984, + [SMALL_STATE(3252)] = 162036, + [SMALL_STATE(3253)] = 162086, + [SMALL_STATE(3254)] = 162136, + [SMALL_STATE(3255)] = 162186, + [SMALL_STATE(3256)] = 162236, + [SMALL_STATE(3257)] = 162326, + [SMALL_STATE(3258)] = 162418, + [SMALL_STATE(3259)] = 162468, + [SMALL_STATE(3260)] = 162560, + [SMALL_STATE(3261)] = 162620, + [SMALL_STATE(3262)] = 162712, + [SMALL_STATE(3263)] = 162804, + [SMALL_STATE(3264)] = 162896, + [SMALL_STATE(3265)] = 162960, + [SMALL_STATE(3266)] = 163030, + [SMALL_STATE(3267)] = 163094, + [SMALL_STATE(3268)] = 163160, + [SMALL_STATE(3269)] = 163250, + [SMALL_STATE(3270)] = 163320, + [SMALL_STATE(3271)] = 163386, + [SMALL_STATE(3272)] = 163478, + [SMALL_STATE(3273)] = 163528, + [SMALL_STATE(3274)] = 163620, + [SMALL_STATE(3275)] = 163710, + [SMALL_STATE(3276)] = 163774, + [SMALL_STATE(3277)] = 163824, + [SMALL_STATE(3278)] = 163916, + [SMALL_STATE(3279)] = 163980, + [SMALL_STATE(3280)] = 164044, + [SMALL_STATE(3281)] = 164134, + [SMALL_STATE(3282)] = 164226, + [SMALL_STATE(3283)] = 164276, + [SMALL_STATE(3284)] = 164368, + [SMALL_STATE(3285)] = 164434, + [SMALL_STATE(3286)] = 164526, + [SMALL_STATE(3287)] = 164582, + [SMALL_STATE(3288)] = 164638, + [SMALL_STATE(3289)] = 164728, + [SMALL_STATE(3290)] = 164818, + [SMALL_STATE(3291)] = 164910, + [SMALL_STATE(3292)] = 165002, + [SMALL_STATE(3293)] = 165066, + [SMALL_STATE(3294)] = 165148, + [SMALL_STATE(3295)] = 165230, + [SMALL_STATE(3296)] = 165312, + [SMALL_STATE(3297)] = 165404, + [SMALL_STATE(3298)] = 165486, + [SMALL_STATE(3299)] = 165568, + [SMALL_STATE(3300)] = 165660, + [SMALL_STATE(3301)] = 165730, + [SMALL_STATE(3302)] = 165812, + [SMALL_STATE(3303)] = 165890, + [SMALL_STATE(3304)] = 165960, + [SMALL_STATE(3305)] = 166050, + [SMALL_STATE(3306)] = 166116, + [SMALL_STATE(3307)] = 166208, + [SMALL_STATE(3308)] = 166300, + [SMALL_STATE(3309)] = 166392, + [SMALL_STATE(3310)] = 166484, + [SMALL_STATE(3311)] = 166550, + [SMALL_STATE(3312)] = 166614, + [SMALL_STATE(3313)] = 166680, + [SMALL_STATE(3314)] = 166770, + [SMALL_STATE(3315)] = 166834, + [SMALL_STATE(3316)] = 166923, + [SMALL_STATE(3317)] = 166978, + [SMALL_STATE(3318)] = 167067, + [SMALL_STATE(3319)] = 167156, + [SMALL_STATE(3320)] = 167245, + [SMALL_STATE(3321)] = 167334, + [SMALL_STATE(3322)] = 167423, + [SMALL_STATE(3323)] = 167512, + [SMALL_STATE(3324)] = 167601, + [SMALL_STATE(3325)] = 167690, + [SMALL_STATE(3326)] = 167779, + [SMALL_STATE(3327)] = 167868, + [SMALL_STATE(3328)] = 167957, + [SMALL_STATE(3329)] = 168046, + [SMALL_STATE(3330)] = 168135, + [SMALL_STATE(3331)] = 168224, + [SMALL_STATE(3332)] = 168313, + [SMALL_STATE(3333)] = 168382, + [SMALL_STATE(3334)] = 168471, + [SMALL_STATE(3335)] = 168528, + [SMALL_STATE(3336)] = 168583, + [SMALL_STATE(3337)] = 168636, + [SMALL_STATE(3338)] = 168725, + [SMALL_STATE(3339)] = 168814, + [SMALL_STATE(3340)] = 168903, + [SMALL_STATE(3341)] = 168992, + [SMALL_STATE(3342)] = 169081, + [SMALL_STATE(3343)] = 169170, + [SMALL_STATE(3344)] = 169259, + [SMALL_STATE(3345)] = 169348, + [SMALL_STATE(3346)] = 169437, + [SMALL_STATE(3347)] = 169526, + [SMALL_STATE(3348)] = 169615, + [SMALL_STATE(3349)] = 169704, + [SMALL_STATE(3350)] = 169793, + [SMALL_STATE(3351)] = 169882, + [SMALL_STATE(3352)] = 169971, + [SMALL_STATE(3353)] = 170060, + [SMALL_STATE(3354)] = 170149, + [SMALL_STATE(3355)] = 170238, + [SMALL_STATE(3356)] = 170327, + [SMALL_STATE(3357)] = 170396, + [SMALL_STATE(3358)] = 170485, + [SMALL_STATE(3359)] = 170574, + [SMALL_STATE(3360)] = 170663, + [SMALL_STATE(3361)] = 170712, + [SMALL_STATE(3362)] = 170781, + [SMALL_STATE(3363)] = 170870, + [SMALL_STATE(3364)] = 170959, + [SMALL_STATE(3365)] = 171048, + [SMALL_STATE(3366)] = 171117, + [SMALL_STATE(3367)] = 171206, + [SMALL_STATE(3368)] = 171295, + [SMALL_STATE(3369)] = 171384, + [SMALL_STATE(3370)] = 171473, + [SMALL_STATE(3371)] = 171522, + [SMALL_STATE(3372)] = 171577, + [SMALL_STATE(3373)] = 171666, + [SMALL_STATE(3374)] = 171755, + [SMALL_STATE(3375)] = 171844, + [SMALL_STATE(3376)] = 171933, + [SMALL_STATE(3377)] = 172022, + [SMALL_STATE(3378)] = 172111, + [SMALL_STATE(3379)] = 172200, + [SMALL_STATE(3380)] = 172289, + [SMALL_STATE(3381)] = 172378, + [SMALL_STATE(3382)] = 172467, + [SMALL_STATE(3383)] = 172516, + [SMALL_STATE(3384)] = 172605, + [SMALL_STATE(3385)] = 172694, + [SMALL_STATE(3386)] = 172783, + [SMALL_STATE(3387)] = 172852, + [SMALL_STATE(3388)] = 172941, + [SMALL_STATE(3389)] = 173030, + [SMALL_STATE(3390)] = 173119, + [SMALL_STATE(3391)] = 173208, + [SMALL_STATE(3392)] = 173297, + [SMALL_STATE(3393)] = 173360, + [SMALL_STATE(3394)] = 173449, + [SMALL_STATE(3395)] = 173518, + [SMALL_STATE(3396)] = 173607, + [SMALL_STATE(3397)] = 173696, + [SMALL_STATE(3398)] = 173753, + [SMALL_STATE(3399)] = 173842, + [SMALL_STATE(3400)] = 173931, + [SMALL_STATE(3401)] = 174020, + [SMALL_STATE(3402)] = 174109, + [SMALL_STATE(3403)] = 174158, + [SMALL_STATE(3404)] = 174207, + [SMALL_STATE(3405)] = 174301, + [SMALL_STATE(3406)] = 174355, + [SMALL_STATE(3407)] = 174403, + [SMALL_STATE(3408)] = 174457, + [SMALL_STATE(3409)] = 174509, + [SMALL_STATE(3410)] = 174559, + [SMALL_STATE(3411)] = 174613, + [SMALL_STATE(3412)] = 174665, + [SMALL_STATE(3413)] = 174713, + [SMALL_STATE(3414)] = 174763, + [SMALL_STATE(3415)] = 174815, + [SMALL_STATE(3416)] = 174869, + [SMALL_STATE(3417)] = 174921, + [SMALL_STATE(3418)] = 174969, + [SMALL_STATE(3419)] = 175063, + [SMALL_STATE(3420)] = 175115, + [SMALL_STATE(3421)] = 175167, + [SMALL_STATE(3422)] = 175219, + [SMALL_STATE(3423)] = 175271, + [SMALL_STATE(3424)] = 175321, + [SMALL_STATE(3425)] = 175369, + [SMALL_STATE(3426)] = 175423, + [SMALL_STATE(3427)] = 175475, + [SMALL_STATE(3428)] = 175527, + [SMALL_STATE(3429)] = 175578, + [SMALL_STATE(3430)] = 175625, + [SMALL_STATE(3431)] = 175672, + [SMALL_STATE(3432)] = 175719, + [SMALL_STATE(3433)] = 175766, + [SMALL_STATE(3434)] = 175813, + [SMALL_STATE(3435)] = 175864, + [SMALL_STATE(3436)] = 175911, + [SMALL_STATE(3437)] = 175958, + [SMALL_STATE(3438)] = 176005, + [SMALL_STATE(3439)] = 176056, + [SMALL_STATE(3440)] = 176103, + [SMALL_STATE(3441)] = 176154, + [SMALL_STATE(3442)] = 176205, + [SMALL_STATE(3443)] = 176256, + [SMALL_STATE(3444)] = 176311, + [SMALL_STATE(3445)] = 176362, + [SMALL_STATE(3446)] = 176409, + [SMALL_STATE(3447)] = 176456, + [SMALL_STATE(3448)] = 176507, + [SMALL_STATE(3449)] = 176554, + [SMALL_STATE(3450)] = 176605, + [SMALL_STATE(3451)] = 176656, + [SMALL_STATE(3452)] = 176707, + [SMALL_STATE(3453)] = 176754, + [SMALL_STATE(3454)] = 176805, + [SMALL_STATE(3455)] = 176852, + [SMALL_STATE(3456)] = 176899, + [SMALL_STATE(3457)] = 176946, + [SMALL_STATE(3458)] = 176997, + [SMALL_STATE(3459)] = 177048, + [SMALL_STATE(3460)] = 177099, + [SMALL_STATE(3461)] = 177146, + [SMALL_STATE(3462)] = 177197, + [SMALL_STATE(3463)] = 177244, + [SMALL_STATE(3464)] = 177290, + [SMALL_STATE(3465)] = 177336, + [SMALL_STATE(3466)] = 177382, + [SMALL_STATE(3467)] = 177428, + [SMALL_STATE(3468)] = 177474, + [SMALL_STATE(3469)] = 177520, + [SMALL_STATE(3470)] = 177566, + [SMALL_STATE(3471)] = 177612, + [SMALL_STATE(3472)] = 177658, + [SMALL_STATE(3473)] = 177704, + [SMALL_STATE(3474)] = 177750, + [SMALL_STATE(3475)] = 177796, + [SMALL_STATE(3476)] = 177842, + [SMALL_STATE(3477)] = 177888, + [SMALL_STATE(3478)] = 177934, + [SMALL_STATE(3479)] = 177980, + [SMALL_STATE(3480)] = 178026, + [SMALL_STATE(3481)] = 178072, + [SMALL_STATE(3482)] = 178118, + [SMALL_STATE(3483)] = 178164, + [SMALL_STATE(3484)] = 178210, + [SMALL_STATE(3485)] = 178256, + [SMALL_STATE(3486)] = 178302, + [SMALL_STATE(3487)] = 178348, + [SMALL_STATE(3488)] = 178394, + [SMALL_STATE(3489)] = 178456, + [SMALL_STATE(3490)] = 178518, + [SMALL_STATE(3491)] = 178564, + [SMALL_STATE(3492)] = 178610, + [SMALL_STATE(3493)] = 178656, + [SMALL_STATE(3494)] = 178702, + [SMALL_STATE(3495)] = 178748, + [SMALL_STATE(3496)] = 178794, + [SMALL_STATE(3497)] = 178840, + [SMALL_STATE(3498)] = 178886, + [SMALL_STATE(3499)] = 178932, + [SMALL_STATE(3500)] = 178978, + [SMALL_STATE(3501)] = 179024, + [SMALL_STATE(3502)] = 179070, + [SMALL_STATE(3503)] = 179116, + [SMALL_STATE(3504)] = 179178, + [SMALL_STATE(3505)] = 179224, + [SMALL_STATE(3506)] = 179270, + [SMALL_STATE(3507)] = 179316, + [SMALL_STATE(3508)] = 179362, + [SMALL_STATE(3509)] = 179408, + [SMALL_STATE(3510)] = 179454, + [SMALL_STATE(3511)] = 179500, + [SMALL_STATE(3512)] = 179546, + [SMALL_STATE(3513)] = 179592, + [SMALL_STATE(3514)] = 179638, + [SMALL_STATE(3515)] = 179700, + [SMALL_STATE(3516)] = 179746, + [SMALL_STATE(3517)] = 179792, + [SMALL_STATE(3518)] = 179838, + [SMALL_STATE(3519)] = 179884, + [SMALL_STATE(3520)] = 179930, + [SMALL_STATE(3521)] = 179976, + [SMALL_STATE(3522)] = 180022, + [SMALL_STATE(3523)] = 180068, + [SMALL_STATE(3524)] = 180114, + [SMALL_STATE(3525)] = 180160, + [SMALL_STATE(3526)] = 180206, + [SMALL_STATE(3527)] = 180252, + [SMALL_STATE(3528)] = 180298, + [SMALL_STATE(3529)] = 180344, + [SMALL_STATE(3530)] = 180390, + [SMALL_STATE(3531)] = 180436, + [SMALL_STATE(3532)] = 180482, + [SMALL_STATE(3533)] = 180528, + [SMALL_STATE(3534)] = 180590, + [SMALL_STATE(3535)] = 180636, + [SMALL_STATE(3536)] = 180682, + [SMALL_STATE(3537)] = 180728, + [SMALL_STATE(3538)] = 180774, + [SMALL_STATE(3539)] = 180820, + [SMALL_STATE(3540)] = 180866, + [SMALL_STATE(3541)] = 180912, + [SMALL_STATE(3542)] = 180958, + [SMALL_STATE(3543)] = 181004, + [SMALL_STATE(3544)] = 181050, + [SMALL_STATE(3545)] = 181096, + [SMALL_STATE(3546)] = 181158, + [SMALL_STATE(3547)] = 181204, + [SMALL_STATE(3548)] = 181250, + [SMALL_STATE(3549)] = 181296, + [SMALL_STATE(3550)] = 181342, + [SMALL_STATE(3551)] = 181388, + [SMALL_STATE(3552)] = 181434, + [SMALL_STATE(3553)] = 181480, + [SMALL_STATE(3554)] = 181526, + [SMALL_STATE(3555)] = 181572, + [SMALL_STATE(3556)] = 181618, + [SMALL_STATE(3557)] = 181664, + [SMALL_STATE(3558)] = 181710, + [SMALL_STATE(3559)] = 181756, + [SMALL_STATE(3560)] = 181802, + [SMALL_STATE(3561)] = 181848, + [SMALL_STATE(3562)] = 181894, + [SMALL_STATE(3563)] = 181940, + [SMALL_STATE(3564)] = 181986, + [SMALL_STATE(3565)] = 182032, + [SMALL_STATE(3566)] = 182078, + [SMALL_STATE(3567)] = 182124, + [SMALL_STATE(3568)] = 182186, + [SMALL_STATE(3569)] = 182232, + [SMALL_STATE(3570)] = 182278, + [SMALL_STATE(3571)] = 182324, + [SMALL_STATE(3572)] = 182370, + [SMALL_STATE(3573)] = 182416, + [SMALL_STATE(3574)] = 182462, + [SMALL_STATE(3575)] = 182508, + [SMALL_STATE(3576)] = 182558, + [SMALL_STATE(3577)] = 182608, + [SMALL_STATE(3578)] = 182654, + [SMALL_STATE(3579)] = 182700, + [SMALL_STATE(3580)] = 182746, + [SMALL_STATE(3581)] = 182792, + [SMALL_STATE(3582)] = 182838, + [SMALL_STATE(3583)] = 182884, + [SMALL_STATE(3584)] = 182930, + [SMALL_STATE(3585)] = 182976, + [SMALL_STATE(3586)] = 183038, + [SMALL_STATE(3587)] = 183084, + [SMALL_STATE(3588)] = 183130, + [SMALL_STATE(3589)] = 183176, + [SMALL_STATE(3590)] = 183222, + [SMALL_STATE(3591)] = 183268, + [SMALL_STATE(3592)] = 183319, + [SMALL_STATE(3593)] = 183370, + [SMALL_STATE(3594)] = 183419, + [SMALL_STATE(3595)] = 183470, + [SMALL_STATE(3596)] = 183519, + [SMALL_STATE(3597)] = 183568, + [SMALL_STATE(3598)] = 183617, + [SMALL_STATE(3599)] = 183666, + [SMALL_STATE(3600)] = 183711, + [SMALL_STATE(3601)] = 183760, + [SMALL_STATE(3602)] = 183811, + [SMALL_STATE(3603)] = 183860, + [SMALL_STATE(3604)] = 183909, + [SMALL_STATE(3605)] = 183958, + [SMALL_STATE(3606)] = 184007, + [SMALL_STATE(3607)] = 184052, + [SMALL_STATE(3608)] = 184100, + [SMALL_STATE(3609)] = 184148, + [SMALL_STATE(3610)] = 184196, + [SMALL_STATE(3611)] = 184246, + [SMALL_STATE(3612)] = 184294, + [SMALL_STATE(3613)] = 184342, + [SMALL_STATE(3614)] = 184390, + [SMALL_STATE(3615)] = 184438, + [SMALL_STATE(3616)] = 184486, + [SMALL_STATE(3617)] = 184534, + [SMALL_STATE(3618)] = 184582, + [SMALL_STATE(3619)] = 184632, + [SMALL_STATE(3620)] = 184680, + [SMALL_STATE(3621)] = 184728, + [SMALL_STATE(3622)] = 184778, + [SMALL_STATE(3623)] = 184826, + [SMALL_STATE(3624)] = 184874, + [SMALL_STATE(3625)] = 184966, + [SMALL_STATE(3626)] = 185014, + [SMALL_STATE(3627)] = 185064, + [SMALL_STATE(3628)] = 185114, + [SMALL_STATE(3629)] = 185164, + [SMALL_STATE(3630)] = 185212, + [SMALL_STATE(3631)] = 185262, + [SMALL_STATE(3632)] = 185310, + [SMALL_STATE(3633)] = 185358, + [SMALL_STATE(3634)] = 185406, + [SMALL_STATE(3635)] = 185454, + [SMALL_STATE(3636)] = 185502, + [SMALL_STATE(3637)] = 185552, + [SMALL_STATE(3638)] = 185597, + [SMALL_STATE(3639)] = 185640, + [SMALL_STATE(3640)] = 185683, + [SMALL_STATE(3641)] = 185726, + [SMALL_STATE(3642)] = 185769, + [SMALL_STATE(3643)] = 185849, + [SMALL_STATE(3644)] = 185931, + [SMALL_STATE(3645)] = 186011, + [SMALL_STATE(3646)] = 186095, + [SMALL_STATE(3647)] = 186135, + [SMALL_STATE(3648)] = 186174, + [SMALL_STATE(3649)] = 186213, + [SMALL_STATE(3650)] = 186252, + [SMALL_STATE(3651)] = 186291, + [SMALL_STATE(3652)] = 186330, + [SMALL_STATE(3653)] = 186369, + [SMALL_STATE(3654)] = 186408, + [SMALL_STATE(3655)] = 186447, + [SMALL_STATE(3656)] = 186486, + [SMALL_STATE(3657)] = 186525, + [SMALL_STATE(3658)] = 186564, + [SMALL_STATE(3659)] = 186643, + [SMALL_STATE(3660)] = 186712, + [SMALL_STATE(3661)] = 186778, + [SMALL_STATE(3662)] = 186850, + [SMALL_STATE(3663)] = 186916, + [SMALL_STATE(3664)] = 186988, + [SMALL_STATE(3665)] = 187021, + [SMALL_STATE(3666)] = 187084, + [SMALL_STATE(3667)] = 187117, + [SMALL_STATE(3668)] = 187152, + [SMALL_STATE(3669)] = 187215, + [SMALL_STATE(3670)] = 187278, + [SMALL_STATE(3671)] = 187341, + [SMALL_STATE(3672)] = 187374, + [SMALL_STATE(3673)] = 187407, + [SMALL_STATE(3674)] = 187470, + [SMALL_STATE(3675)] = 187503, + [SMALL_STATE(3676)] = 187536, + [SMALL_STATE(3677)] = 187569, + [SMALL_STATE(3678)] = 187602, + [SMALL_STATE(3679)] = 187635, + [SMALL_STATE(3680)] = 187668, + [SMALL_STATE(3681)] = 187701, + [SMALL_STATE(3682)] = 187734, + [SMALL_STATE(3683)] = 187767, + [SMALL_STATE(3684)] = 187800, + [SMALL_STATE(3685)] = 187833, + [SMALL_STATE(3686)] = 187866, + [SMALL_STATE(3687)] = 187899, + [SMALL_STATE(3688)] = 187932, + [SMALL_STATE(3689)] = 187965, + [SMALL_STATE(3690)] = 187998, + [SMALL_STATE(3691)] = 188031, + [SMALL_STATE(3692)] = 188094, + [SMALL_STATE(3693)] = 188157, + [SMALL_STATE(3694)] = 188220, + [SMALL_STATE(3695)] = 188253, + [SMALL_STATE(3696)] = 188315, + [SMALL_STATE(3697)] = 188377, + [SMALL_STATE(3698)] = 188439, + [SMALL_STATE(3699)] = 188501, + [SMALL_STATE(3700)] = 188567, + [SMALL_STATE(3701)] = 188629, + [SMALL_STATE(3702)] = 188691, + [SMALL_STATE(3703)] = 188753, + [SMALL_STATE(3704)] = 188819, + [SMALL_STATE(3705)] = 188881, + [SMALL_STATE(3706)] = 188941, + [SMALL_STATE(3707)] = 189001, + [SMALL_STATE(3708)] = 189063, + [SMALL_STATE(3709)] = 189125, + [SMALL_STATE(3710)] = 189187, + [SMALL_STATE(3711)] = 189249, + [SMALL_STATE(3712)] = 189315, + [SMALL_STATE(3713)] = 189381, + [SMALL_STATE(3714)] = 189447, + [SMALL_STATE(3715)] = 189509, + [SMALL_STATE(3716)] = 189571, + [SMALL_STATE(3717)] = 189637, + [SMALL_STATE(3718)] = 189699, + [SMALL_STATE(3719)] = 189759, + [SMALL_STATE(3720)] = 189819, + [SMALL_STATE(3721)] = 189881, + [SMALL_STATE(3722)] = 189942, + [SMALL_STATE(3723)] = 190005, + [SMALL_STATE(3724)] = 190066, + [SMALL_STATE(3725)] = 190127, + [SMALL_STATE(3726)] = 190188, + [SMALL_STATE(3727)] = 190249, + [SMALL_STATE(3728)] = 190310, + [SMALL_STATE(3729)] = 190371, + [SMALL_STATE(3730)] = 190434, + [SMALL_STATE(3731)] = 190495, + [SMALL_STATE(3732)] = 190556, + [SMALL_STATE(3733)] = 190617, + [SMALL_STATE(3734)] = 190678, + [SMALL_STATE(3735)] = 190739, + [SMALL_STATE(3736)] = 190800, + [SMALL_STATE(3737)] = 190835, + [SMALL_STATE(3738)] = 190900, + [SMALL_STATE(3739)] = 190963, + [SMALL_STATE(3740)] = 191024, + [SMALL_STATE(3741)] = 191085, + [SMALL_STATE(3742)] = 191146, + [SMALL_STATE(3743)] = 191207, + [SMALL_STATE(3744)] = 191268, + [SMALL_STATE(3745)] = 191329, + [SMALL_STATE(3746)] = 191390, + [SMALL_STATE(3747)] = 191451, + [SMALL_STATE(3748)] = 191512, + [SMALL_STATE(3749)] = 191547, + [SMALL_STATE(3750)] = 191608, + [SMALL_STATE(3751)] = 191669, + [SMALL_STATE(3752)] = 191730, + [SMALL_STATE(3753)] = 191795, + [SMALL_STATE(3754)] = 191844, + [SMALL_STATE(3755)] = 191879, + [SMALL_STATE(3756)] = 191940, + [SMALL_STATE(3757)] = 192001, + [SMALL_STATE(3758)] = 192062, + [SMALL_STATE(3759)] = 192127, + [SMALL_STATE(3760)] = 192192, + [SMALL_STATE(3761)] = 192253, + [SMALL_STATE(3762)] = 192318, + [SMALL_STATE(3763)] = 192379, + [SMALL_STATE(3764)] = 192440, + [SMALL_STATE(3765)] = 192505, + [SMALL_STATE(3766)] = 192570, + [SMALL_STATE(3767)] = 192631, + [SMALL_STATE(3768)] = 192692, + [SMALL_STATE(3769)] = 192753, + [SMALL_STATE(3770)] = 192816, + [SMALL_STATE(3771)] = 192877, + [SMALL_STATE(3772)] = 192940, + [SMALL_STATE(3773)] = 193001, + [SMALL_STATE(3774)] = 193062, + [SMALL_STATE(3775)] = 193127, + [SMALL_STATE(3776)] = 193192, + [SMALL_STATE(3777)] = 193227, + [SMALL_STATE(3778)] = 193288, + [SMALL_STATE(3779)] = 193351, + [SMALL_STATE(3780)] = 193412, + [SMALL_STATE(3781)] = 193473, + [SMALL_STATE(3782)] = 193534, + [SMALL_STATE(3783)] = 193565, + [SMALL_STATE(3784)] = 193626, + [SMALL_STATE(3785)] = 193673, + [SMALL_STATE(3786)] = 193734, + [SMALL_STATE(3787)] = 193795, + [SMALL_STATE(3788)] = 193856, + [SMALL_STATE(3789)] = 193917, + [SMALL_STATE(3790)] = 193978, + [SMALL_STATE(3791)] = 194043, + [SMALL_STATE(3792)] = 194104, + [SMALL_STATE(3793)] = 194165, + [SMALL_STATE(3794)] = 194193, + [SMALL_STATE(3795)] = 194253, + [SMALL_STATE(3796)] = 194285, + [SMALL_STATE(3797)] = 194347, + [SMALL_STATE(3798)] = 194375, + [SMALL_STATE(3799)] = 194403, + [SMALL_STATE(3800)] = 194447, + [SMALL_STATE(3801)] = 194475, + [SMALL_STATE(3802)] = 194505, + [SMALL_STATE(3803)] = 194535, + [SMALL_STATE(3804)] = 194578, + [SMALL_STATE(3805)] = 194621, + [SMALL_STATE(3806)] = 194676, + [SMALL_STATE(3807)] = 194705, + [SMALL_STATE(3808)] = 194748, + [SMALL_STATE(3809)] = 194791, + [SMALL_STATE(3810)] = 194817, + [SMALL_STATE(3811)] = 194879, + [SMALL_STATE(3812)] = 194935, + [SMALL_STATE(3813)] = 194961, + [SMALL_STATE(3814)] = 194987, + [SMALL_STATE(3815)] = 195039, + [SMALL_STATE(3816)] = 195095, + [SMALL_STATE(3817)] = 195123, + [SMALL_STATE(3818)] = 195149, + [SMALL_STATE(3819)] = 195205, + [SMALL_STATE(3820)] = 195267, + [SMALL_STATE(3821)] = 195329, + [SMALL_STATE(3822)] = 195385, + [SMALL_STATE(3823)] = 195447, + [SMALL_STATE(3824)] = 195498, + [SMALL_STATE(3825)] = 195551, + [SMALL_STATE(3826)] = 195576, + [SMALL_STATE(3827)] = 195605, + [SMALL_STATE(3828)] = 195654, + [SMALL_STATE(3829)] = 195707, + [SMALL_STATE(3830)] = 195758, + [SMALL_STATE(3831)] = 195783, + [SMALL_STATE(3832)] = 195836, + [SMALL_STATE(3833)] = 195863, + [SMALL_STATE(3834)] = 195916, + [SMALL_STATE(3835)] = 195967, + [SMALL_STATE(3836)] = 195992, + [SMALL_STATE(3837)] = 196017, + [SMALL_STATE(3838)] = 196042, + [SMALL_STATE(3839)] = 196091, + [SMALL_STATE(3840)] = 196140, + [SMALL_STATE(3841)] = 196189, + [SMALL_STATE(3842)] = 196242, + [SMALL_STATE(3843)] = 196285, + [SMALL_STATE(3844)] = 196328, + [SMALL_STATE(3845)] = 196377, + [SMALL_STATE(3846)] = 196406, + [SMALL_STATE(3847)] = 196455, + [SMALL_STATE(3848)] = 196484, + [SMALL_STATE(3849)] = 196537, + [SMALL_STATE(3850)] = 196580, + [SMALL_STATE(3851)] = 196633, + [SMALL_STATE(3852)] = 196686, + [SMALL_STATE(3853)] = 196729, + [SMALL_STATE(3854)] = 196758, + [SMALL_STATE(3855)] = 196805, + [SMALL_STATE(3856)] = 196854, + [SMALL_STATE(3857)] = 196903, + [SMALL_STATE(3858)] = 196956, + [SMALL_STATE(3859)] = 196990, + [SMALL_STATE(3860)] = 197020, + [SMALL_STATE(3861)] = 197054, + [SMALL_STATE(3862)] = 197096, + [SMALL_STATE(3863)] = 197148, + [SMALL_STATE(3864)] = 197190, + [SMALL_STATE(3865)] = 197232, + [SMALL_STATE(3866)] = 197282, + [SMALL_STATE(3867)] = 197316, + [SMALL_STATE(3868)] = 197366, + [SMALL_STATE(3869)] = 197408, + [SMALL_STATE(3870)] = 197450, + [SMALL_STATE(3871)] = 197484, + [SMALL_STATE(3872)] = 197526, + [SMALL_STATE(3873)] = 197566, + [SMALL_STATE(3874)] = 197610, + [SMALL_STATE(3875)] = 197650, + [SMALL_STATE(3876)] = 197684, + [SMALL_STATE(3877)] = 197736, + [SMALL_STATE(3878)] = 197786, + [SMALL_STATE(3879)] = 197836, + [SMALL_STATE(3880)] = 197886, + [SMALL_STATE(3881)] = 197928, + [SMALL_STATE(3882)] = 197978, + [SMALL_STATE(3883)] = 198028, + [SMALL_STATE(3884)] = 198070, + [SMALL_STATE(3885)] = 198102, + [SMALL_STATE(3886)] = 198152, + [SMALL_STATE(3887)] = 198202, + [SMALL_STATE(3888)] = 198250, + [SMALL_STATE(3889)] = 198300, + [SMALL_STATE(3890)] = 198332, + [SMALL_STATE(3891)] = 198382, + [SMALL_STATE(3892)] = 198432, + [SMALL_STATE(3893)] = 198482, + [SMALL_STATE(3894)] = 198524, + [SMALL_STATE(3895)] = 198566, + [SMALL_STATE(3896)] = 198616, + [SMALL_STATE(3897)] = 198656, + [SMALL_STATE(3898)] = 198700, + [SMALL_STATE(3899)] = 198752, + [SMALL_STATE(3900)] = 198802, + [SMALL_STATE(3901)] = 198852, + [SMALL_STATE(3902)] = 198894, + [SMALL_STATE(3903)] = 198944, + [SMALL_STATE(3904)] = 198994, + [SMALL_STATE(3905)] = 199044, + [SMALL_STATE(3906)] = 199094, + [SMALL_STATE(3907)] = 199138, + [SMALL_STATE(3908)] = 199178, + [SMALL_STATE(3909)] = 199226, + [SMALL_STATE(3910)] = 199268, + [SMALL_STATE(3911)] = 199318, + [SMALL_STATE(3912)] = 199368, + [SMALL_STATE(3913)] = 199410, + [SMALL_STATE(3914)] = 199460, + [SMALL_STATE(3915)] = 199502, + [SMALL_STATE(3916)] = 199552, + [SMALL_STATE(3917)] = 199602, + [SMALL_STATE(3918)] = 199644, + [SMALL_STATE(3919)] = 199686, + [SMALL_STATE(3920)] = 199728, + [SMALL_STATE(3921)] = 199778, + [SMALL_STATE(3922)] = 199828, + [SMALL_STATE(3923)] = 199870, + [SMALL_STATE(3924)] = 199914, + [SMALL_STATE(3925)] = 199957, + [SMALL_STATE(3926)] = 200004, + [SMALL_STATE(3927)] = 200051, + [SMALL_STATE(3928)] = 200098, + [SMALL_STATE(3929)] = 200145, + [SMALL_STATE(3930)] = 200192, + [SMALL_STATE(3931)] = 200239, + [SMALL_STATE(3932)] = 200282, + [SMALL_STATE(3933)] = 200329, + [SMALL_STATE(3934)] = 200372, + [SMALL_STATE(3935)] = 200419, + [SMALL_STATE(3936)] = 200462, + [SMALL_STATE(3937)] = 200509, + [SMALL_STATE(3938)] = 200552, + [SMALL_STATE(3939)] = 200599, + [SMALL_STATE(3940)] = 200646, + [SMALL_STATE(3941)] = 200679, + [SMALL_STATE(3942)] = 200718, + [SMALL_STATE(3943)] = 200761, + [SMALL_STATE(3944)] = 200804, + [SMALL_STATE(3945)] = 200847, + [SMALL_STATE(3946)] = 200886, + [SMALL_STATE(3947)] = 200929, + [SMALL_STATE(3948)] = 200972, + [SMALL_STATE(3949)] = 201019, + [SMALL_STATE(3950)] = 201062, + [SMALL_STATE(3951)] = 201103, + [SMALL_STATE(3952)] = 201150, + [SMALL_STATE(3953)] = 201193, + [SMALL_STATE(3954)] = 201236, + [SMALL_STATE(3955)] = 201279, + [SMALL_STATE(3956)] = 201326, + [SMALL_STATE(3957)] = 201369, + [SMALL_STATE(3958)] = 201412, + [SMALL_STATE(3959)] = 201455, + [SMALL_STATE(3960)] = 201498, + [SMALL_STATE(3961)] = 201547, + [SMALL_STATE(3962)] = 201586, + [SMALL_STATE(3963)] = 201625, + [SMALL_STATE(3964)] = 201672, + [SMALL_STATE(3965)] = 201719, + [SMALL_STATE(3966)] = 201766, + [SMALL_STATE(3967)] = 201815, + [SMALL_STATE(3968)] = 201862, + [SMALL_STATE(3969)] = 201909, + [SMALL_STATE(3970)] = 201956, + [SMALL_STATE(3971)] = 202003, + [SMALL_STATE(3972)] = 202050, + [SMALL_STATE(3973)] = 202097, + [SMALL_STATE(3974)] = 202140, + [SMALL_STATE(3975)] = 202183, + [SMALL_STATE(3976)] = 202226, + [SMALL_STATE(3977)] = 202273, + [SMALL_STATE(3978)] = 202316, + [SMALL_STATE(3979)] = 202357, + [SMALL_STATE(3980)] = 202404, + [SMALL_STATE(3981)] = 202447, + [SMALL_STATE(3982)] = 202494, + [SMALL_STATE(3983)] = 202535, + [SMALL_STATE(3984)] = 202584, + [SMALL_STATE(3985)] = 202631, + [SMALL_STATE(3986)] = 202678, + [SMALL_STATE(3987)] = 202725, + [SMALL_STATE(3988)] = 202772, + [SMALL_STATE(3989)] = 202819, + [SMALL_STATE(3990)] = 202866, + [SMALL_STATE(3991)] = 202913, + [SMALL_STATE(3992)] = 202960, + [SMALL_STATE(3993)] = 203003, + [SMALL_STATE(3994)] = 203050, + [SMALL_STATE(3995)] = 203093, + [SMALL_STATE(3996)] = 203140, + [SMALL_STATE(3997)] = 203187, + [SMALL_STATE(3998)] = 203234, + [SMALL_STATE(3999)] = 203265, + [SMALL_STATE(4000)] = 203312, + [SMALL_STATE(4001)] = 203359, + [SMALL_STATE(4002)] = 203406, + [SMALL_STATE(4003)] = 203453, + [SMALL_STATE(4004)] = 203500, + [SMALL_STATE(4005)] = 203547, + [SMALL_STATE(4006)] = 203588, + [SMALL_STATE(4007)] = 203635, + [SMALL_STATE(4008)] = 203682, + [SMALL_STATE(4009)] = 203729, + [SMALL_STATE(4010)] = 203776, + [SMALL_STATE(4011)] = 203819, + [SMALL_STATE(4012)] = 203858, + [SMALL_STATE(4013)] = 203897, + [SMALL_STATE(4014)] = 203940, + [SMALL_STATE(4015)] = 203987, + [SMALL_STATE(4016)] = 204030, + [SMALL_STATE(4017)] = 204077, + [SMALL_STATE(4018)] = 204124, + [SMALL_STATE(4019)] = 204165, + [SMALL_STATE(4020)] = 204212, + [SMALL_STATE(4021)] = 204255, + [SMALL_STATE(4022)] = 204296, + [SMALL_STATE(4023)] = 204337, + [SMALL_STATE(4024)] = 204378, + [SMALL_STATE(4025)] = 204421, + [SMALL_STATE(4026)] = 204468, + [SMALL_STATE(4027)] = 204515, + [SMALL_STATE(4028)] = 204558, + [SMALL_STATE(4029)] = 204601, + [SMALL_STATE(4030)] = 204644, + [SMALL_STATE(4031)] = 204683, + [SMALL_STATE(4032)] = 204730, + [SMALL_STATE(4033)] = 204777, + [SMALL_STATE(4034)] = 204824, + [SMALL_STATE(4035)] = 204871, + [SMALL_STATE(4036)] = 204904, + [SMALL_STATE(4037)] = 204951, + [SMALL_STATE(4038)] = 204985, + [SMALL_STATE(4039)] = 205013, + [SMALL_STATE(4040)] = 205057, + [SMALL_STATE(4041)] = 205101, + [SMALL_STATE(4042)] = 205145, + [SMALL_STATE(4043)] = 205189, + [SMALL_STATE(4044)] = 205225, + [SMALL_STATE(4045)] = 205259, + [SMALL_STATE(4046)] = 205297, + [SMALL_STATE(4047)] = 205335, + [SMALL_STATE(4048)] = 205371, + [SMALL_STATE(4049)] = 205415, + [SMALL_STATE(4050)] = 205459, + [SMALL_STATE(4051)] = 205493, + [SMALL_STATE(4052)] = 205521, + [SMALL_STATE(4053)] = 205563, + [SMALL_STATE(4054)] = 205607, + [SMALL_STATE(4055)] = 205641, + [SMALL_STATE(4056)] = 205685, + [SMALL_STATE(4057)] = 205729, + [SMALL_STATE(4058)] = 205765, + [SMALL_STATE(4059)] = 205801, + [SMALL_STATE(4060)] = 205845, + [SMALL_STATE(4061)] = 205881, + [SMALL_STATE(4062)] = 205917, + [SMALL_STATE(4063)] = 205945, + [SMALL_STATE(4064)] = 205983, + [SMALL_STATE(4065)] = 206027, + [SMALL_STATE(4066)] = 206063, + [SMALL_STATE(4067)] = 206107, + [SMALL_STATE(4068)] = 206151, + [SMALL_STATE(4069)] = 206195, + [SMALL_STATE(4070)] = 206239, + [SMALL_STATE(4071)] = 206267, + [SMALL_STATE(4072)] = 206311, + [SMALL_STATE(4073)] = 206345, + [SMALL_STATE(4074)] = 206381, + [SMALL_STATE(4075)] = 206417, + [SMALL_STATE(4076)] = 206455, + [SMALL_STATE(4077)] = 206491, + [SMALL_STATE(4078)] = 206527, + [SMALL_STATE(4079)] = 206565, + [SMALL_STATE(4080)] = 206603, + [SMALL_STATE(4081)] = 206637, + [SMALL_STATE(4082)] = 206675, + [SMALL_STATE(4083)] = 206711, + [SMALL_STATE(4084)] = 206747, + [SMALL_STATE(4085)] = 206783, + [SMALL_STATE(4086)] = 206819, + [SMALL_STATE(4087)] = 206855, + [SMALL_STATE(4088)] = 206892, + [SMALL_STATE(4089)] = 206925, + [SMALL_STATE(4090)] = 206962, + [SMALL_STATE(4091)] = 206999, + [SMALL_STATE(4092)] = 207032, + [SMALL_STATE(4093)] = 207065, + [SMALL_STATE(4094)] = 207098, + [SMALL_STATE(4095)] = 207119, + [SMALL_STATE(4096)] = 207154, + [SMALL_STATE(4097)] = 207175, + [SMALL_STATE(4098)] = 207202, + [SMALL_STATE(4099)] = 207223, + [SMALL_STATE(4100)] = 207260, + [SMALL_STATE(4101)] = 207287, + [SMALL_STATE(4102)] = 207308, + [SMALL_STATE(4103)] = 207329, + [SMALL_STATE(4104)] = 207362, + [SMALL_STATE(4105)] = 207405, + [SMALL_STATE(4106)] = 207442, + [SMALL_STATE(4107)] = 207463, + [SMALL_STATE(4108)] = 207496, + [SMALL_STATE(4109)] = 207517, + [SMALL_STATE(4110)] = 207538, + [SMALL_STATE(4111)] = 207575, + [SMALL_STATE(4112)] = 207596, + [SMALL_STATE(4113)] = 207617, + [SMALL_STATE(4114)] = 207660, + [SMALL_STATE(4115)] = 207695, + [SMALL_STATE(4116)] = 207716, + [SMALL_STATE(4117)] = 207737, + [SMALL_STATE(4118)] = 207780, + [SMALL_STATE(4119)] = 207803, + [SMALL_STATE(4120)] = 207840, + [SMALL_STATE(4121)] = 207883, + [SMALL_STATE(4122)] = 207930, + [SMALL_STATE(4123)] = 207973, + [SMALL_STATE(4124)] = 208020, + [SMALL_STATE(4125)] = 208063, + [SMALL_STATE(4126)] = 208084, + [SMALL_STATE(4127)] = 208127, + [SMALL_STATE(4128)] = 208170, + [SMALL_STATE(4129)] = 208191, + [SMALL_STATE(4130)] = 208226, + [SMALL_STATE(4131)] = 208247, + [SMALL_STATE(4132)] = 208294, + [SMALL_STATE(4133)] = 208341, + [SMALL_STATE(4134)] = 208388, + [SMALL_STATE(4135)] = 208431, + [SMALL_STATE(4136)] = 208478, + [SMALL_STATE(4137)] = 208499, + [SMALL_STATE(4138)] = 208536, + [SMALL_STATE(4139)] = 208557, + [SMALL_STATE(4140)] = 208600, + [SMALL_STATE(4141)] = 208623, + [SMALL_STATE(4142)] = 208660, + [SMALL_STATE(4143)] = 208681, + [SMALL_STATE(4144)] = 208718, + [SMALL_STATE(4145)] = 208739, + [SMALL_STATE(4146)] = 208776, + [SMALL_STATE(4147)] = 208813, + [SMALL_STATE(4148)] = 208834, + [SMALL_STATE(4149)] = 208871, + [SMALL_STATE(4150)] = 208908, + [SMALL_STATE(4151)] = 208945, + [SMALL_STATE(4152)] = 208982, + [SMALL_STATE(4153)] = 209019, + [SMALL_STATE(4154)] = 209056, + [SMALL_STATE(4155)] = 209093, + [SMALL_STATE(4156)] = 209130, + [SMALL_STATE(4157)] = 209177, + [SMALL_STATE(4158)] = 209214, + [SMALL_STATE(4159)] = 209251, + [SMALL_STATE(4160)] = 209288, + [SMALL_STATE(4161)] = 209325, + [SMALL_STATE(4162)] = 209346, + [SMALL_STATE(4163)] = 209383, + [SMALL_STATE(4164)] = 209420, + [SMALL_STATE(4165)] = 209461, + [SMALL_STATE(4166)] = 209502, + [SMALL_STATE(4167)] = 209549, + [SMALL_STATE(4168)] = 209570, + [SMALL_STATE(4169)] = 209607, + [SMALL_STATE(4170)] = 209628, + [SMALL_STATE(4171)] = 209675, + [SMALL_STATE(4172)] = 209696, + [SMALL_STATE(4173)] = 209717, + [SMALL_STATE(4174)] = 209754, + [SMALL_STATE(4175)] = 209791, + [SMALL_STATE(4176)] = 209812, + [SMALL_STATE(4177)] = 209833, + [SMALL_STATE(4178)] = 209854, + [SMALL_STATE(4179)] = 209897, + [SMALL_STATE(4180)] = 209932, + [SMALL_STATE(4181)] = 209967, + [SMALL_STATE(4182)] = 209988, + [SMALL_STATE(4183)] = 210009, + [SMALL_STATE(4184)] = 210056, + [SMALL_STATE(4185)] = 210099, + [SMALL_STATE(4186)] = 210146, + [SMALL_STATE(4187)] = 210193, + [SMALL_STATE(4188)] = 210228, + [SMALL_STATE(4189)] = 210271, + [SMALL_STATE(4190)] = 210314, + [SMALL_STATE(4191)] = 210357, + [SMALL_STATE(4192)] = 210378, + [SMALL_STATE(4193)] = 210425, + [SMALL_STATE(4194)] = 210472, + [SMALL_STATE(4195)] = 210507, + [SMALL_STATE(4196)] = 210554, + [SMALL_STATE(4197)] = 210591, + [SMALL_STATE(4198)] = 210638, + [SMALL_STATE(4199)] = 210675, + [SMALL_STATE(4200)] = 210696, + [SMALL_STATE(4201)] = 210739, + [SMALL_STATE(4202)] = 210776, + [SMALL_STATE(4203)] = 210813, + [SMALL_STATE(4204)] = 210856, + [SMALL_STATE(4205)] = 210877, + [SMALL_STATE(4206)] = 210920, + [SMALL_STATE(4207)] = 210953, + [SMALL_STATE(4208)] = 210996, + [SMALL_STATE(4209)] = 211022, + [SMALL_STATE(4210)] = 211046, + [SMALL_STATE(4211)] = 211070, + [SMALL_STATE(4212)] = 211092, + [SMALL_STATE(4213)] = 211116, + [SMALL_STATE(4214)] = 211140, + [SMALL_STATE(4215)] = 211164, + [SMALL_STATE(4216)] = 211190, + [SMALL_STATE(4217)] = 211214, + [SMALL_STATE(4218)] = 211238, + [SMALL_STATE(4219)] = 211262, + [SMALL_STATE(4220)] = 211286, + [SMALL_STATE(4221)] = 211310, + [SMALL_STATE(4222)] = 211334, + [SMALL_STATE(4223)] = 211374, + [SMALL_STATE(4224)] = 211398, + [SMALL_STATE(4225)] = 211422, + [SMALL_STATE(4226)] = 211446, + [SMALL_STATE(4227)] = 211470, + [SMALL_STATE(4228)] = 211496, + [SMALL_STATE(4229)] = 211520, + [SMALL_STATE(4230)] = 211544, + [SMALL_STATE(4231)] = 211568, + [SMALL_STATE(4232)] = 211592, + [SMALL_STATE(4233)] = 211616, + [SMALL_STATE(4234)] = 211640, + [SMALL_STATE(4235)] = 211678, + [SMALL_STATE(4236)] = 211702, + [SMALL_STATE(4237)] = 211742, + [SMALL_STATE(4238)] = 211780, + [SMALL_STATE(4239)] = 211814, + [SMALL_STATE(4240)] = 211838, + [SMALL_STATE(4241)] = 211862, + [SMALL_STATE(4242)] = 211886, + [SMALL_STATE(4243)] = 211910, + [SMALL_STATE(4244)] = 211944, + [SMALL_STATE(4245)] = 211968, + [SMALL_STATE(4246)] = 211992, + [SMALL_STATE(4247)] = 212020, + [SMALL_STATE(4248)] = 212044, + [SMALL_STATE(4249)] = 212072, + [SMALL_STATE(4250)] = 212096, + [SMALL_STATE(4251)] = 212119, + [SMALL_STATE(4252)] = 212142, + [SMALL_STATE(4253)] = 212181, + [SMALL_STATE(4254)] = 212216, + [SMALL_STATE(4255)] = 212251, + [SMALL_STATE(4256)] = 212274, + [SMALL_STATE(4257)] = 212295, + [SMALL_STATE(4258)] = 212330, + [SMALL_STATE(4259)] = 212353, + [SMALL_STATE(4260)] = 212376, + [SMALL_STATE(4261)] = 212399, + [SMALL_STATE(4262)] = 212422, + [SMALL_STATE(4263)] = 212445, + [SMALL_STATE(4264)] = 212468, + [SMALL_STATE(4265)] = 212491, + [SMALL_STATE(4266)] = 212514, + [SMALL_STATE(4267)] = 212537, + [SMALL_STATE(4268)] = 212576, + [SMALL_STATE(4269)] = 212611, + [SMALL_STATE(4270)] = 212646, + [SMALL_STATE(4271)] = 212669, + [SMALL_STATE(4272)] = 212692, + [SMALL_STATE(4273)] = 212715, + [SMALL_STATE(4274)] = 212750, + [SMALL_STATE(4275)] = 212785, + [SMALL_STATE(4276)] = 212820, + [SMALL_STATE(4277)] = 212855, + [SMALL_STATE(4278)] = 212878, + [SMALL_STATE(4279)] = 212901, + [SMALL_STATE(4280)] = 212924, + [SMALL_STATE(4281)] = 212947, + [SMALL_STATE(4282)] = 212970, + [SMALL_STATE(4283)] = 212993, + [SMALL_STATE(4284)] = 213016, + [SMALL_STATE(4285)] = 213039, + [SMALL_STATE(4286)] = 213062, + [SMALL_STATE(4287)] = 213089, + [SMALL_STATE(4288)] = 213112, + [SMALL_STATE(4289)] = 213147, + [SMALL_STATE(4290)] = 213170, + [SMALL_STATE(4291)] = 213193, + [SMALL_STATE(4292)] = 213228, + [SMALL_STATE(4293)] = 213263, + [SMALL_STATE(4294)] = 213298, + [SMALL_STATE(4295)] = 213333, + [SMALL_STATE(4296)] = 213356, + [SMALL_STATE(4297)] = 213391, + [SMALL_STATE(4298)] = 213414, + [SMALL_STATE(4299)] = 213449, + [SMALL_STATE(4300)] = 213472, + [SMALL_STATE(4301)] = 213495, + [SMALL_STATE(4302)] = 213516, + [SMALL_STATE(4303)] = 213551, + [SMALL_STATE(4304)] = 213586, + [SMALL_STATE(4305)] = 213609, + [SMALL_STATE(4306)] = 213644, + [SMALL_STATE(4307)] = 213667, + [SMALL_STATE(4308)] = 213702, + [SMALL_STATE(4309)] = 213737, + [SMALL_STATE(4310)] = 213772, + [SMALL_STATE(4311)] = 213795, + [SMALL_STATE(4312)] = 213816, + [SMALL_STATE(4313)] = 213837, + [SMALL_STATE(4314)] = 213872, + [SMALL_STATE(4315)] = 213895, + [SMALL_STATE(4316)] = 213916, + [SMALL_STATE(4317)] = 213951, + [SMALL_STATE(4318)] = 213972, + [SMALL_STATE(4319)] = 213995, + [SMALL_STATE(4320)] = 214030, + [SMALL_STATE(4321)] = 214053, + [SMALL_STATE(4322)] = 214076, + [SMALL_STATE(4323)] = 214111, + [SMALL_STATE(4324)] = 214134, + [SMALL_STATE(4325)] = 214169, + [SMALL_STATE(4326)] = 214192, + [SMALL_STATE(4327)] = 214227, + [SMALL_STATE(4328)] = 214250, + [SMALL_STATE(4329)] = 214273, + [SMALL_STATE(4330)] = 214296, + [SMALL_STATE(4331)] = 214319, + [SMALL_STATE(4332)] = 214342, + [SMALL_STATE(4333)] = 214365, + [SMALL_STATE(4334)] = 214388, + [SMALL_STATE(4335)] = 214423, + [SMALL_STATE(4336)] = 214446, + [SMALL_STATE(4337)] = 214481, + [SMALL_STATE(4338)] = 214516, + [SMALL_STATE(4339)] = 214551, + [SMALL_STATE(4340)] = 214586, + [SMALL_STATE(4341)] = 214621, + [SMALL_STATE(4342)] = 214644, + [SMALL_STATE(4343)] = 214679, + [SMALL_STATE(4344)] = 214717, + [SMALL_STATE(4345)] = 214753, + [SMALL_STATE(4346)] = 214773, + [SMALL_STATE(4347)] = 214809, + [SMALL_STATE(4348)] = 214829, + [SMALL_STATE(4349)] = 214863, + [SMALL_STATE(4350)] = 214901, + [SMALL_STATE(4351)] = 214939, + [SMALL_STATE(4352)] = 214973, + [SMALL_STATE(4353)] = 215009, + [SMALL_STATE(4354)] = 215047, + [SMALL_STATE(4355)] = 215085, + [SMALL_STATE(4356)] = 215123, + [SMALL_STATE(4357)] = 215161, + [SMALL_STATE(4358)] = 215189, + [SMALL_STATE(4359)] = 215223, + [SMALL_STATE(4360)] = 215257, + [SMALL_STATE(4361)] = 215277, + [SMALL_STATE(4362)] = 215297, + [SMALL_STATE(4363)] = 215335, + [SMALL_STATE(4364)] = 215370, + [SMALL_STATE(4365)] = 215405, + [SMALL_STATE(4366)] = 215438, + [SMALL_STATE(4367)] = 215473, + [SMALL_STATE(4368)] = 215508, + [SMALL_STATE(4369)] = 215543, + [SMALL_STATE(4370)] = 215578, + [SMALL_STATE(4371)] = 215613, + [SMALL_STATE(4372)] = 215648, + [SMALL_STATE(4373)] = 215681, + [SMALL_STATE(4374)] = 215714, + [SMALL_STATE(4375)] = 215733, + [SMALL_STATE(4376)] = 215768, + [SMALL_STATE(4377)] = 215803, + [SMALL_STATE(4378)] = 215836, + [SMALL_STATE(4379)] = 215863, + [SMALL_STATE(4380)] = 215898, + [SMALL_STATE(4381)] = 215925, + [SMALL_STATE(4382)] = 215960, + [SMALL_STATE(4383)] = 215979, + [SMALL_STATE(4384)] = 216012, + [SMALL_STATE(4385)] = 216033, + [SMALL_STATE(4386)] = 216054, + [SMALL_STATE(4387)] = 216075, + [SMALL_STATE(4388)] = 216096, + [SMALL_STATE(4389)] = 216117, + [SMALL_STATE(4390)] = 216138, + [SMALL_STATE(4391)] = 216173, + [SMALL_STATE(4392)] = 216208, + [SMALL_STATE(4393)] = 216243, + [SMALL_STATE(4394)] = 216278, + [SMALL_STATE(4395)] = 216313, + [SMALL_STATE(4396)] = 216346, + [SMALL_STATE(4397)] = 216381, + [SMALL_STATE(4398)] = 216416, + [SMALL_STATE(4399)] = 216451, + [SMALL_STATE(4400)] = 216486, + [SMALL_STATE(4401)] = 216507, + [SMALL_STATE(4402)] = 216542, + [SMALL_STATE(4403)] = 216577, + [SMALL_STATE(4404)] = 216612, + [SMALL_STATE(4405)] = 216647, + [SMALL_STATE(4406)] = 216668, + [SMALL_STATE(4407)] = 216703, + [SMALL_STATE(4408)] = 216738, + [SMALL_STATE(4409)] = 216773, + [SMALL_STATE(4410)] = 216808, + [SMALL_STATE(4411)] = 216843, + [SMALL_STATE(4412)] = 216878, + [SMALL_STATE(4413)] = 216911, + [SMALL_STATE(4414)] = 216944, + [SMALL_STATE(4415)] = 216979, + [SMALL_STATE(4416)] = 217014, + [SMALL_STATE(4417)] = 217041, + [SMALL_STATE(4418)] = 217076, + [SMALL_STATE(4419)] = 217109, + [SMALL_STATE(4420)] = 217144, + [SMALL_STATE(4421)] = 217179, + [SMALL_STATE(4422)] = 217200, + [SMALL_STATE(4423)] = 217221, + [SMALL_STATE(4424)] = 217242, + [SMALL_STATE(4425)] = 217277, + [SMALL_STATE(4426)] = 217312, + [SMALL_STATE(4427)] = 217347, + [SMALL_STATE(4428)] = 217382, + [SMALL_STATE(4429)] = 217417, + [SMALL_STATE(4430)] = 217452, + [SMALL_STATE(4431)] = 217487, + [SMALL_STATE(4432)] = 217522, + [SMALL_STATE(4433)] = 217557, + [SMALL_STATE(4434)] = 217592, + [SMALL_STATE(4435)] = 217613, + [SMALL_STATE(4436)] = 217648, + [SMALL_STATE(4437)] = 217683, + [SMALL_STATE(4438)] = 217718, + [SMALL_STATE(4439)] = 217753, + [SMALL_STATE(4440)] = 217788, + [SMALL_STATE(4441)] = 217809, + [SMALL_STATE(4442)] = 217844, + [SMALL_STATE(4443)] = 217879, + [SMALL_STATE(4444)] = 217914, + [SMALL_STATE(4445)] = 217949, + [SMALL_STATE(4446)] = 217984, + [SMALL_STATE(4447)] = 218019, + [SMALL_STATE(4448)] = 218054, + [SMALL_STATE(4449)] = 218089, + [SMALL_STATE(4450)] = 218124, + [SMALL_STATE(4451)] = 218145, + [SMALL_STATE(4452)] = 218180, + [SMALL_STATE(4453)] = 218215, + [SMALL_STATE(4454)] = 218250, + [SMALL_STATE(4455)] = 218285, + [SMALL_STATE(4456)] = 218320, + [SMALL_STATE(4457)] = 218355, + [SMALL_STATE(4458)] = 218390, + [SMALL_STATE(4459)] = 218425, + [SMALL_STATE(4460)] = 218460, + [SMALL_STATE(4461)] = 218495, + [SMALL_STATE(4462)] = 218530, + [SMALL_STATE(4463)] = 218563, + [SMALL_STATE(4464)] = 218598, + [SMALL_STATE(4465)] = 218627, + [SMALL_STATE(4466)] = 218662, + [SMALL_STATE(4467)] = 218697, + [SMALL_STATE(4468)] = 218728, + [SMALL_STATE(4469)] = 218761, + [SMALL_STATE(4470)] = 218794, + [SMALL_STATE(4471)] = 218829, + [SMALL_STATE(4472)] = 218864, + [SMALL_STATE(4473)] = 218899, + [SMALL_STATE(4474)] = 218934, + [SMALL_STATE(4475)] = 218969, + [SMALL_STATE(4476)] = 218998, + [SMALL_STATE(4477)] = 219033, + [SMALL_STATE(4478)] = 219068, + [SMALL_STATE(4479)] = 219103, + [SMALL_STATE(4480)] = 219138, + [SMALL_STATE(4481)] = 219173, + [SMALL_STATE(4482)] = 219208, + [SMALL_STATE(4483)] = 219243, + [SMALL_STATE(4484)] = 219276, + [SMALL_STATE(4485)] = 219311, + [SMALL_STATE(4486)] = 219344, + [SMALL_STATE(4487)] = 219379, + [SMALL_STATE(4488)] = 219414, + [SMALL_STATE(4489)] = 219449, + [SMALL_STATE(4490)] = 219484, + [SMALL_STATE(4491)] = 219519, + [SMALL_STATE(4492)] = 219554, + [SMALL_STATE(4493)] = 219589, + [SMALL_STATE(4494)] = 219624, + [SMALL_STATE(4495)] = 219659, + [SMALL_STATE(4496)] = 219694, + [SMALL_STATE(4497)] = 219729, + [SMALL_STATE(4498)] = 219764, + [SMALL_STATE(4499)] = 219799, + [SMALL_STATE(4500)] = 219827, + [SMALL_STATE(4501)] = 219859, + [SMALL_STATE(4502)] = 219889, + [SMALL_STATE(4503)] = 219919, + [SMALL_STATE(4504)] = 219951, + [SMALL_STATE(4505)] = 219979, + [SMALL_STATE(4506)] = 220011, + [SMALL_STATE(4507)] = 220043, + [SMALL_STATE(4508)] = 220075, + [SMALL_STATE(4509)] = 220107, + [SMALL_STATE(4510)] = 220139, + [SMALL_STATE(4511)] = 220171, + [SMALL_STATE(4512)] = 220197, + [SMALL_STATE(4513)] = 220223, + [SMALL_STATE(4514)] = 220253, + [SMALL_STATE(4515)] = 220283, + [SMALL_STATE(4516)] = 220315, + [SMALL_STATE(4517)] = 220347, + [SMALL_STATE(4518)] = 220375, + [SMALL_STATE(4519)] = 220407, + [SMALL_STATE(4520)] = 220439, + [SMALL_STATE(4521)] = 220465, + [SMALL_STATE(4522)] = 220493, + [SMALL_STATE(4523)] = 220523, + [SMALL_STATE(4524)] = 220553, + [SMALL_STATE(4525)] = 220585, + [SMALL_STATE(4526)] = 220617, + [SMALL_STATE(4527)] = 220643, + [SMALL_STATE(4528)] = 220675, + [SMALL_STATE(4529)] = 220703, + [SMALL_STATE(4530)] = 220733, + [SMALL_STATE(4531)] = 220761, + [SMALL_STATE(4532)] = 220793, + [SMALL_STATE(4533)] = 220825, + [SMALL_STATE(4534)] = 220853, + [SMALL_STATE(4535)] = 220885, + [SMALL_STATE(4536)] = 220917, + [SMALL_STATE(4537)] = 220949, + [SMALL_STATE(4538)] = 220981, + [SMALL_STATE(4539)] = 221013, + [SMALL_STATE(4540)] = 221041, + [SMALL_STATE(4541)] = 221073, + [SMALL_STATE(4542)] = 221102, + [SMALL_STATE(4543)] = 221119, + [SMALL_STATE(4544)] = 221142, + [SMALL_STATE(4545)] = 221171, + [SMALL_STATE(4546)] = 221194, + [SMALL_STATE(4547)] = 221217, + [SMALL_STATE(4548)] = 221246, + [SMALL_STATE(4549)] = 221267, + [SMALL_STATE(4550)] = 221296, + [SMALL_STATE(4551)] = 221317, + [SMALL_STATE(4552)] = 221346, + [SMALL_STATE(4553)] = 221369, + [SMALL_STATE(4554)] = 221392, + [SMALL_STATE(4555)] = 221421, + [SMALL_STATE(4556)] = 221450, + [SMALL_STATE(4557)] = 221479, + [SMALL_STATE(4558)] = 221508, + [SMALL_STATE(4559)] = 221537, + [SMALL_STATE(4560)] = 221566, + [SMALL_STATE(4561)] = 221595, + [SMALL_STATE(4562)] = 221618, + [SMALL_STATE(4563)] = 221641, + [SMALL_STATE(4564)] = 221670, + [SMALL_STATE(4565)] = 221693, + [SMALL_STATE(4566)] = 221722, + [SMALL_STATE(4567)] = 221751, + [SMALL_STATE(4568)] = 221780, + [SMALL_STATE(4569)] = 221803, + [SMALL_STATE(4570)] = 221822, + [SMALL_STATE(4571)] = 221851, + [SMALL_STATE(4572)] = 221880, + [SMALL_STATE(4573)] = 221909, + [SMALL_STATE(4574)] = 221938, + [SMALL_STATE(4575)] = 221967, + [SMALL_STATE(4576)] = 221990, + [SMALL_STATE(4577)] = 222013, + [SMALL_STATE(4578)] = 222042, + [SMALL_STATE(4579)] = 222071, + [SMALL_STATE(4580)] = 222100, + [SMALL_STATE(4581)] = 222129, + [SMALL_STATE(4582)] = 222158, + [SMALL_STATE(4583)] = 222187, + [SMALL_STATE(4584)] = 222216, + [SMALL_STATE(4585)] = 222245, + [SMALL_STATE(4586)] = 222262, + [SMALL_STATE(4587)] = 222279, + [SMALL_STATE(4588)] = 222308, + [SMALL_STATE(4589)] = 222337, + [SMALL_STATE(4590)] = 222362, + [SMALL_STATE(4591)] = 222391, + [SMALL_STATE(4592)] = 222416, + [SMALL_STATE(4593)] = 222445, + [SMALL_STATE(4594)] = 222474, + [SMALL_STATE(4595)] = 222491, + [SMALL_STATE(4596)] = 222510, + [SMALL_STATE(4597)] = 222539, + [SMALL_STATE(4598)] = 222568, + [SMALL_STATE(4599)] = 222597, + [SMALL_STATE(4600)] = 222626, + [SMALL_STATE(4601)] = 222655, + [SMALL_STATE(4602)] = 222684, + [SMALL_STATE(4603)] = 222707, + [SMALL_STATE(4604)] = 222736, + [SMALL_STATE(4605)] = 222759, + [SMALL_STATE(4606)] = 222784, + [SMALL_STATE(4607)] = 222809, + [SMALL_STATE(4608)] = 222838, + [SMALL_STATE(4609)] = 222861, + [SMALL_STATE(4610)] = 222890, + [SMALL_STATE(4611)] = 222907, + [SMALL_STATE(4612)] = 222924, + [SMALL_STATE(4613)] = 222953, + [SMALL_STATE(4614)] = 222982, + [SMALL_STATE(4615)] = 223011, + [SMALL_STATE(4616)] = 223040, + [SMALL_STATE(4617)] = 223069, + [SMALL_STATE(4618)] = 223094, + [SMALL_STATE(4619)] = 223110, + [SMALL_STATE(4620)] = 223136, + [SMALL_STATE(4621)] = 223156, + [SMALL_STATE(4622)] = 223182, + [SMALL_STATE(4623)] = 223208, + [SMALL_STATE(4624)] = 223234, + [SMALL_STATE(4625)] = 223260, + [SMALL_STATE(4626)] = 223286, + [SMALL_STATE(4627)] = 223312, + [SMALL_STATE(4628)] = 223336, + [SMALL_STATE(4629)] = 223356, + [SMALL_STATE(4630)] = 223382, + [SMALL_STATE(4631)] = 223408, + [SMALL_STATE(4632)] = 223434, + [SMALL_STATE(4633)] = 223460, + [SMALL_STATE(4634)] = 223476, + [SMALL_STATE(4635)] = 223492, + [SMALL_STATE(4636)] = 223518, + [SMALL_STATE(4637)] = 223544, + [SMALL_STATE(4638)] = 223570, + [SMALL_STATE(4639)] = 223596, + [SMALL_STATE(4640)] = 223622, + [SMALL_STATE(4641)] = 223648, + [SMALL_STATE(4642)] = 223674, + [SMALL_STATE(4643)] = 223700, + [SMALL_STATE(4644)] = 223726, + [SMALL_STATE(4645)] = 223752, + [SMALL_STATE(4646)] = 223774, + [SMALL_STATE(4647)] = 223800, + [SMALL_STATE(4648)] = 223818, + [SMALL_STATE(4649)] = 223844, + [SMALL_STATE(4650)] = 223860, + [SMALL_STATE(4651)] = 223876, + [SMALL_STATE(4652)] = 223902, + [SMALL_STATE(4653)] = 223928, + [SMALL_STATE(4654)] = 223954, + [SMALL_STATE(4655)] = 223980, + [SMALL_STATE(4656)] = 223996, + [SMALL_STATE(4657)] = 224016, + [SMALL_STATE(4658)] = 224042, + [SMALL_STATE(4659)] = 224062, + [SMALL_STATE(4660)] = 224088, + [SMALL_STATE(4661)] = 224104, + [SMALL_STATE(4662)] = 224124, + [SMALL_STATE(4663)] = 224150, + [SMALL_STATE(4664)] = 224170, + [SMALL_STATE(4665)] = 224192, + [SMALL_STATE(4666)] = 224218, + [SMALL_STATE(4667)] = 224244, + [SMALL_STATE(4668)] = 224266, + [SMALL_STATE(4669)] = 224292, + [SMALL_STATE(4670)] = 224308, + [SMALL_STATE(4671)] = 224334, + [SMALL_STATE(4672)] = 224352, + [SMALL_STATE(4673)] = 224368, + [SMALL_STATE(4674)] = 224394, + [SMALL_STATE(4675)] = 224420, + [SMALL_STATE(4676)] = 224446, + [SMALL_STATE(4677)] = 224472, + [SMALL_STATE(4678)] = 224498, + [SMALL_STATE(4679)] = 224524, + [SMALL_STATE(4680)] = 224550, + [SMALL_STATE(4681)] = 224576, + [SMALL_STATE(4682)] = 224592, + [SMALL_STATE(4683)] = 224608, + [SMALL_STATE(4684)] = 224634, + [SMALL_STATE(4685)] = 224660, + [SMALL_STATE(4686)] = 224682, + [SMALL_STATE(4687)] = 224697, + [SMALL_STATE(4688)] = 224720, + [SMALL_STATE(4689)] = 224743, + [SMALL_STATE(4690)] = 224766, + [SMALL_STATE(4691)] = 224779, + [SMALL_STATE(4692)] = 224802, + [SMALL_STATE(4693)] = 224825, + [SMALL_STATE(4694)] = 224844, + [SMALL_STATE(4695)] = 224865, + [SMALL_STATE(4696)] = 224888, + [SMALL_STATE(4697)] = 224909, + [SMALL_STATE(4698)] = 224932, + [SMALL_STATE(4699)] = 224953, + [SMALL_STATE(4700)] = 224976, + [SMALL_STATE(4701)] = 224997, + [SMALL_STATE(4702)] = 225018, + [SMALL_STATE(4703)] = 225041, + [SMALL_STATE(4704)] = 225064, + [SMALL_STATE(4705)] = 225085, + [SMALL_STATE(4706)] = 225108, + [SMALL_STATE(4707)] = 225129, + [SMALL_STATE(4708)] = 225152, + [SMALL_STATE(4709)] = 225173, + [SMALL_STATE(4710)] = 225194, + [SMALL_STATE(4711)] = 225217, + [SMALL_STATE(4712)] = 225240, + [SMALL_STATE(4713)] = 225263, + [SMALL_STATE(4714)] = 225278, + [SMALL_STATE(4715)] = 225293, + [SMALL_STATE(4716)] = 225316, + [SMALL_STATE(4717)] = 225331, + [SMALL_STATE(4718)] = 225346, + [SMALL_STATE(4719)] = 225369, + [SMALL_STATE(4720)] = 225392, + [SMALL_STATE(4721)] = 225405, + [SMALL_STATE(4722)] = 225420, + [SMALL_STATE(4723)] = 225443, + [SMALL_STATE(4724)] = 225466, + [SMALL_STATE(4725)] = 225489, + [SMALL_STATE(4726)] = 225512, + [SMALL_STATE(4727)] = 225535, + [SMALL_STATE(4728)] = 225550, + [SMALL_STATE(4729)] = 225573, + [SMALL_STATE(4730)] = 225596, + [SMALL_STATE(4731)] = 225617, + [SMALL_STATE(4732)] = 225636, + [SMALL_STATE(4733)] = 225659, + [SMALL_STATE(4734)] = 225682, + [SMALL_STATE(4735)] = 225703, + [SMALL_STATE(4736)] = 225718, + [SMALL_STATE(4737)] = 225733, + [SMALL_STATE(4738)] = 225756, + [SMALL_STATE(4739)] = 225775, + [SMALL_STATE(4740)] = 225798, + [SMALL_STATE(4741)] = 225821, + [SMALL_STATE(4742)] = 225844, + [SMALL_STATE(4743)] = 225867, + [SMALL_STATE(4744)] = 225886, + [SMALL_STATE(4745)] = 225909, + [SMALL_STATE(4746)] = 225928, + [SMALL_STATE(4747)] = 225951, + [SMALL_STATE(4748)] = 225966, + [SMALL_STATE(4749)] = 225989, + [SMALL_STATE(4750)] = 226010, + [SMALL_STATE(4751)] = 226025, + [SMALL_STATE(4752)] = 226048, + [SMALL_STATE(4753)] = 226069, + [SMALL_STATE(4754)] = 226082, + [SMALL_STATE(4755)] = 226103, + [SMALL_STATE(4756)] = 226124, + [SMALL_STATE(4757)] = 226147, + [SMALL_STATE(4758)] = 226170, + [SMALL_STATE(4759)] = 226193, + [SMALL_STATE(4760)] = 226212, + [SMALL_STATE(4761)] = 226233, + [SMALL_STATE(4762)] = 226254, + [SMALL_STATE(4763)] = 226277, + [SMALL_STATE(4764)] = 226300, + [SMALL_STATE(4765)] = 226319, + [SMALL_STATE(4766)] = 226342, + [SMALL_STATE(4767)] = 226365, + [SMALL_STATE(4768)] = 226388, + [SMALL_STATE(4769)] = 226411, + [SMALL_STATE(4770)] = 226430, + [SMALL_STATE(4771)] = 226449, + [SMALL_STATE(4772)] = 226472, + [SMALL_STATE(4773)] = 226495, + [SMALL_STATE(4774)] = 226518, + [SMALL_STATE(4775)] = 226541, + [SMALL_STATE(4776)] = 226564, + [SMALL_STATE(4777)] = 226587, + [SMALL_STATE(4778)] = 226608, + [SMALL_STATE(4779)] = 226627, + [SMALL_STATE(4780)] = 226648, + [SMALL_STATE(4781)] = 226671, + [SMALL_STATE(4782)] = 226692, + [SMALL_STATE(4783)] = 226715, + [SMALL_STATE(4784)] = 226738, + [SMALL_STATE(4785)] = 226761, + [SMALL_STATE(4786)] = 226784, + [SMALL_STATE(4787)] = 226803, + [SMALL_STATE(4788)] = 226822, + [SMALL_STATE(4789)] = 226841, + [SMALL_STATE(4790)] = 226864, + [SMALL_STATE(4791)] = 226885, + [SMALL_STATE(4792)] = 226906, + [SMALL_STATE(4793)] = 226927, + [SMALL_STATE(4794)] = 226950, + [SMALL_STATE(4795)] = 226973, + [SMALL_STATE(4796)] = 226996, + [SMALL_STATE(4797)] = 227019, + [SMALL_STATE(4798)] = 227042, + [SMALL_STATE(4799)] = 227065, + [SMALL_STATE(4800)] = 227084, + [SMALL_STATE(4801)] = 227107, + [SMALL_STATE(4802)] = 227126, + [SMALL_STATE(4803)] = 227149, + [SMALL_STATE(4804)] = 227168, + [SMALL_STATE(4805)] = 227187, + [SMALL_STATE(4806)] = 227210, + [SMALL_STATE(4807)] = 227229, + [SMALL_STATE(4808)] = 227252, + [SMALL_STATE(4809)] = 227275, + [SMALL_STATE(4810)] = 227298, + [SMALL_STATE(4811)] = 227321, + [SMALL_STATE(4812)] = 227344, + [SMALL_STATE(4813)] = 227363, + [SMALL_STATE(4814)] = 227382, + [SMALL_STATE(4815)] = 227405, + [SMALL_STATE(4816)] = 227426, + [SMALL_STATE(4817)] = 227449, + [SMALL_STATE(4818)] = 227468, + [SMALL_STATE(4819)] = 227481, + [SMALL_STATE(4820)] = 227500, + [SMALL_STATE(4821)] = 227523, + [SMALL_STATE(4822)] = 227544, + [SMALL_STATE(4823)] = 227567, + [SMALL_STATE(4824)] = 227588, + [SMALL_STATE(4825)] = 227611, + [SMALL_STATE(4826)] = 227634, + [SMALL_STATE(4827)] = 227657, + [SMALL_STATE(4828)] = 227678, + [SMALL_STATE(4829)] = 227699, + [SMALL_STATE(4830)] = 227722, + [SMALL_STATE(4831)] = 227745, + [SMALL_STATE(4832)] = 227766, + [SMALL_STATE(4833)] = 227789, + [SMALL_STATE(4834)] = 227812, + [SMALL_STATE(4835)] = 227831, + [SMALL_STATE(4836)] = 227854, + [SMALL_STATE(4837)] = 227867, + [SMALL_STATE(4838)] = 227890, + [SMALL_STATE(4839)] = 227907, + [SMALL_STATE(4840)] = 227928, + [SMALL_STATE(4841)] = 227947, + [SMALL_STATE(4842)] = 227968, + [SMALL_STATE(4843)] = 227989, + [SMALL_STATE(4844)] = 228012, + [SMALL_STATE(4845)] = 228035, + [SMALL_STATE(4846)] = 228056, + [SMALL_STATE(4847)] = 228073, + [SMALL_STATE(4848)] = 228086, + [SMALL_STATE(4849)] = 228106, + [SMALL_STATE(4850)] = 228118, + [SMALL_STATE(4851)] = 228134, + [SMALL_STATE(4852)] = 228154, + [SMALL_STATE(4853)] = 228174, + [SMALL_STATE(4854)] = 228194, + [SMALL_STATE(4855)] = 228214, + [SMALL_STATE(4856)] = 228234, + [SMALL_STATE(4857)] = 228254, + [SMALL_STATE(4858)] = 228274, + [SMALL_STATE(4859)] = 228294, + [SMALL_STATE(4860)] = 228314, + [SMALL_STATE(4861)] = 228334, + [SMALL_STATE(4862)] = 228354, + [SMALL_STATE(4863)] = 228374, + [SMALL_STATE(4864)] = 228394, + [SMALL_STATE(4865)] = 228406, + [SMALL_STATE(4866)] = 228426, + [SMALL_STATE(4867)] = 228446, + [SMALL_STATE(4868)] = 228466, + [SMALL_STATE(4869)] = 228486, + [SMALL_STATE(4870)] = 228506, + [SMALL_STATE(4871)] = 228526, + [SMALL_STATE(4872)] = 228546, + [SMALL_STATE(4873)] = 228566, + [SMALL_STATE(4874)] = 228578, + [SMALL_STATE(4875)] = 228598, + [SMALL_STATE(4876)] = 228618, + [SMALL_STATE(4877)] = 228638, + [SMALL_STATE(4878)] = 228658, + [SMALL_STATE(4879)] = 228678, + [SMALL_STATE(4880)] = 228698, + [SMALL_STATE(4881)] = 228718, + [SMALL_STATE(4882)] = 228738, + [SMALL_STATE(4883)] = 228758, + [SMALL_STATE(4884)] = 228778, + [SMALL_STATE(4885)] = 228798, + [SMALL_STATE(4886)] = 228818, + [SMALL_STATE(4887)] = 228838, + [SMALL_STATE(4888)] = 228858, + [SMALL_STATE(4889)] = 228878, + [SMALL_STATE(4890)] = 228898, + [SMALL_STATE(4891)] = 228918, + [SMALL_STATE(4892)] = 228938, + [SMALL_STATE(4893)] = 228958, + [SMALL_STATE(4894)] = 228978, + [SMALL_STATE(4895)] = 228998, + [SMALL_STATE(4896)] = 229018, + [SMALL_STATE(4897)] = 229038, + [SMALL_STATE(4898)] = 229058, + [SMALL_STATE(4899)] = 229078, + [SMALL_STATE(4900)] = 229098, + [SMALL_STATE(4901)] = 229118, + [SMALL_STATE(4902)] = 229138, + [SMALL_STATE(4903)] = 229158, + [SMALL_STATE(4904)] = 229178, + [SMALL_STATE(4905)] = 229198, + [SMALL_STATE(4906)] = 229218, + [SMALL_STATE(4907)] = 229238, + [SMALL_STATE(4908)] = 229258, + [SMALL_STATE(4909)] = 229278, + [SMALL_STATE(4910)] = 229298, + [SMALL_STATE(4911)] = 229318, + [SMALL_STATE(4912)] = 229338, + [SMALL_STATE(4913)] = 229358, + [SMALL_STATE(4914)] = 229378, + [SMALL_STATE(4915)] = 229398, + [SMALL_STATE(4916)] = 229418, + [SMALL_STATE(4917)] = 229438, + [SMALL_STATE(4918)] = 229458, + [SMALL_STATE(4919)] = 229478, + [SMALL_STATE(4920)] = 229498, + [SMALL_STATE(4921)] = 229518, + [SMALL_STATE(4922)] = 229538, + [SMALL_STATE(4923)] = 229558, + [SMALL_STATE(4924)] = 229578, + [SMALL_STATE(4925)] = 229598, + [SMALL_STATE(4926)] = 229618, + [SMALL_STATE(4927)] = 229638, + [SMALL_STATE(4928)] = 229658, + [SMALL_STATE(4929)] = 229678, + [SMALL_STATE(4930)] = 229698, + [SMALL_STATE(4931)] = 229718, + [SMALL_STATE(4932)] = 229738, + [SMALL_STATE(4933)] = 229758, + [SMALL_STATE(4934)] = 229778, + [SMALL_STATE(4935)] = 229798, + [SMALL_STATE(4936)] = 229818, + [SMALL_STATE(4937)] = 229838, + [SMALL_STATE(4938)] = 229858, + [SMALL_STATE(4939)] = 229878, + [SMALL_STATE(4940)] = 229898, + [SMALL_STATE(4941)] = 229918, + [SMALL_STATE(4942)] = 229938, + [SMALL_STATE(4943)] = 229958, + [SMALL_STATE(4944)] = 229978, + [SMALL_STATE(4945)] = 229998, + [SMALL_STATE(4946)] = 230018, + [SMALL_STATE(4947)] = 230038, + [SMALL_STATE(4948)] = 230054, + [SMALL_STATE(4949)] = 230074, + [SMALL_STATE(4950)] = 230094, + [SMALL_STATE(4951)] = 230114, + [SMALL_STATE(4952)] = 230134, + [SMALL_STATE(4953)] = 230154, + [SMALL_STATE(4954)] = 230174, + [SMALL_STATE(4955)] = 230194, + [SMALL_STATE(4956)] = 230214, + [SMALL_STATE(4957)] = 230234, + [SMALL_STATE(4958)] = 230254, + [SMALL_STATE(4959)] = 230274, + [SMALL_STATE(4960)] = 230294, + [SMALL_STATE(4961)] = 230314, + [SMALL_STATE(4962)] = 230334, + [SMALL_STATE(4963)] = 230354, + [SMALL_STATE(4964)] = 230374, + [SMALL_STATE(4965)] = 230394, + [SMALL_STATE(4966)] = 230414, + [SMALL_STATE(4967)] = 230434, + [SMALL_STATE(4968)] = 230454, + [SMALL_STATE(4969)] = 230474, + [SMALL_STATE(4970)] = 230486, + [SMALL_STATE(4971)] = 230506, + [SMALL_STATE(4972)] = 230526, + [SMALL_STATE(4973)] = 230546, + [SMALL_STATE(4974)] = 230566, + [SMALL_STATE(4975)] = 230586, + [SMALL_STATE(4976)] = 230606, + [SMALL_STATE(4977)] = 230626, + [SMALL_STATE(4978)] = 230642, + [SMALL_STATE(4979)] = 230662, + [SMALL_STATE(4980)] = 230682, + [SMALL_STATE(4981)] = 230702, + [SMALL_STATE(4982)] = 230722, + [SMALL_STATE(4983)] = 230742, + [SMALL_STATE(4984)] = 230762, + [SMALL_STATE(4985)] = 230782, + [SMALL_STATE(4986)] = 230802, + [SMALL_STATE(4987)] = 230822, + [SMALL_STATE(4988)] = 230842, + [SMALL_STATE(4989)] = 230862, + [SMALL_STATE(4990)] = 230882, + [SMALL_STATE(4991)] = 230902, + [SMALL_STATE(4992)] = 230922, + [SMALL_STATE(4993)] = 230942, + [SMALL_STATE(4994)] = 230962, + [SMALL_STATE(4995)] = 230974, + [SMALL_STATE(4996)] = 230994, + [SMALL_STATE(4997)] = 231014, + [SMALL_STATE(4998)] = 231026, + [SMALL_STATE(4999)] = 231046, + [SMALL_STATE(5000)] = 231066, + [SMALL_STATE(5001)] = 231086, + [SMALL_STATE(5002)] = 231106, + [SMALL_STATE(5003)] = 231118, + [SMALL_STATE(5004)] = 231136, + [SMALL_STATE(5005)] = 231148, + [SMALL_STATE(5006)] = 231168, + [SMALL_STATE(5007)] = 231188, + [SMALL_STATE(5008)] = 231208, + [SMALL_STATE(5009)] = 231220, + [SMALL_STATE(5010)] = 231240, + [SMALL_STATE(5011)] = 231260, + [SMALL_STATE(5012)] = 231280, + [SMALL_STATE(5013)] = 231300, + [SMALL_STATE(5014)] = 231312, + [SMALL_STATE(5015)] = 231324, + [SMALL_STATE(5016)] = 231344, + [SMALL_STATE(5017)] = 231360, + [SMALL_STATE(5018)] = 231380, + [SMALL_STATE(5019)] = 231400, + [SMALL_STATE(5020)] = 231420, + [SMALL_STATE(5021)] = 231440, + [SMALL_STATE(5022)] = 231460, + [SMALL_STATE(5023)] = 231480, + [SMALL_STATE(5024)] = 231500, + [SMALL_STATE(5025)] = 231520, + [SMALL_STATE(5026)] = 231540, + [SMALL_STATE(5027)] = 231560, + [SMALL_STATE(5028)] = 231580, + [SMALL_STATE(5029)] = 231600, + [SMALL_STATE(5030)] = 231620, + [SMALL_STATE(5031)] = 231640, + [SMALL_STATE(5032)] = 231660, + [SMALL_STATE(5033)] = 231680, + [SMALL_STATE(5034)] = 231700, + [SMALL_STATE(5035)] = 231720, + [SMALL_STATE(5036)] = 231732, + [SMALL_STATE(5037)] = 231752, + [SMALL_STATE(5038)] = 231772, + [SMALL_STATE(5039)] = 231792, + [SMALL_STATE(5040)] = 231812, + [SMALL_STATE(5041)] = 231832, + [SMALL_STATE(5042)] = 231852, + [SMALL_STATE(5043)] = 231872, + [SMALL_STATE(5044)] = 231892, + [SMALL_STATE(5045)] = 231912, + [SMALL_STATE(5046)] = 231932, + [SMALL_STATE(5047)] = 231952, + [SMALL_STATE(5048)] = 231972, + [SMALL_STATE(5049)] = 231992, + [SMALL_STATE(5050)] = 232012, + [SMALL_STATE(5051)] = 232032, + [SMALL_STATE(5052)] = 232052, + [SMALL_STATE(5053)] = 232072, + [SMALL_STATE(5054)] = 232084, + [SMALL_STATE(5055)] = 232104, + [SMALL_STATE(5056)] = 232124, + [SMALL_STATE(5057)] = 232144, + [SMALL_STATE(5058)] = 232164, + [SMALL_STATE(5059)] = 232184, + [SMALL_STATE(5060)] = 232204, + [SMALL_STATE(5061)] = 232218, + [SMALL_STATE(5062)] = 232232, + [SMALL_STATE(5063)] = 232252, + [SMALL_STATE(5064)] = 232272, + [SMALL_STATE(5065)] = 232292, + [SMALL_STATE(5066)] = 232312, + [SMALL_STATE(5067)] = 232330, + [SMALL_STATE(5068)] = 232350, + [SMALL_STATE(5069)] = 232370, + [SMALL_STATE(5070)] = 232382, + [SMALL_STATE(5071)] = 232402, + [SMALL_STATE(5072)] = 232422, + [SMALL_STATE(5073)] = 232442, + [SMALL_STATE(5074)] = 232462, + [SMALL_STATE(5075)] = 232482, + [SMALL_STATE(5076)] = 232502, + [SMALL_STATE(5077)] = 232522, + [SMALL_STATE(5078)] = 232542, + [SMALL_STATE(5079)] = 232562, + [SMALL_STATE(5080)] = 232582, + [SMALL_STATE(5081)] = 232594, + [SMALL_STATE(5082)] = 232606, + [SMALL_STATE(5083)] = 232622, + [SMALL_STATE(5084)] = 232642, + [SMALL_STATE(5085)] = 232662, + [SMALL_STATE(5086)] = 232682, + [SMALL_STATE(5087)] = 232702, + [SMALL_STATE(5088)] = 232722, + [SMALL_STATE(5089)] = 232742, + [SMALL_STATE(5090)] = 232762, + [SMALL_STATE(5091)] = 232782, + [SMALL_STATE(5092)] = 232802, + [SMALL_STATE(5093)] = 232822, + [SMALL_STATE(5094)] = 232842, + [SMALL_STATE(5095)] = 232862, + [SMALL_STATE(5096)] = 232882, + [SMALL_STATE(5097)] = 232898, + [SMALL_STATE(5098)] = 232918, + [SMALL_STATE(5099)] = 232938, + [SMALL_STATE(5100)] = 232952, + [SMALL_STATE(5101)] = 232968, + [SMALL_STATE(5102)] = 232988, + [SMALL_STATE(5103)] = 233008, + [SMALL_STATE(5104)] = 233024, + [SMALL_STATE(5105)] = 233044, + [SMALL_STATE(5106)] = 233060, + [SMALL_STATE(5107)] = 233072, + [SMALL_STATE(5108)] = 233092, + [SMALL_STATE(5109)] = 233112, + [SMALL_STATE(5110)] = 233132, + [SMALL_STATE(5111)] = 233152, + [SMALL_STATE(5112)] = 233172, + [SMALL_STATE(5113)] = 233192, + [SMALL_STATE(5114)] = 233212, + [SMALL_STATE(5115)] = 233232, + [SMALL_STATE(5116)] = 233252, + [SMALL_STATE(5117)] = 233268, + [SMALL_STATE(5118)] = 233284, + [SMALL_STATE(5119)] = 233304, + [SMALL_STATE(5120)] = 233324, + [SMALL_STATE(5121)] = 233344, + [SMALL_STATE(5122)] = 233364, + [SMALL_STATE(5123)] = 233384, + [SMALL_STATE(5124)] = 233404, + [SMALL_STATE(5125)] = 233420, + [SMALL_STATE(5126)] = 233440, + [SMALL_STATE(5127)] = 233456, + [SMALL_STATE(5128)] = 233476, + [SMALL_STATE(5129)] = 233496, + [SMALL_STATE(5130)] = 233516, + [SMALL_STATE(5131)] = 233536, + [SMALL_STATE(5132)] = 233556, + [SMALL_STATE(5133)] = 233576, + [SMALL_STATE(5134)] = 233596, + [SMALL_STATE(5135)] = 233616, + [SMALL_STATE(5136)] = 233636, + [SMALL_STATE(5137)] = 233656, + [SMALL_STATE(5138)] = 233676, + [SMALL_STATE(5139)] = 233696, + [SMALL_STATE(5140)] = 233716, + [SMALL_STATE(5141)] = 233736, + [SMALL_STATE(5142)] = 233756, + [SMALL_STATE(5143)] = 233776, + [SMALL_STATE(5144)] = 233796, + [SMALL_STATE(5145)] = 233816, + [SMALL_STATE(5146)] = 233836, + [SMALL_STATE(5147)] = 233852, + [SMALL_STATE(5148)] = 233870, + [SMALL_STATE(5149)] = 233890, + [SMALL_STATE(5150)] = 233910, + [SMALL_STATE(5151)] = 233930, + [SMALL_STATE(5152)] = 233950, + [SMALL_STATE(5153)] = 233970, + [SMALL_STATE(5154)] = 233990, + [SMALL_STATE(5155)] = 234010, + [SMALL_STATE(5156)] = 234030, + [SMALL_STATE(5157)] = 234050, + [SMALL_STATE(5158)] = 234070, + [SMALL_STATE(5159)] = 234090, + [SMALL_STATE(5160)] = 234110, + [SMALL_STATE(5161)] = 234130, + [SMALL_STATE(5162)] = 234142, + [SMALL_STATE(5163)] = 234162, + [SMALL_STATE(5164)] = 234182, + [SMALL_STATE(5165)] = 234202, + [SMALL_STATE(5166)] = 234222, + [SMALL_STATE(5167)] = 234242, + [SMALL_STATE(5168)] = 234262, + [SMALL_STATE(5169)] = 234282, + [SMALL_STATE(5170)] = 234302, + [SMALL_STATE(5171)] = 234322, + [SMALL_STATE(5172)] = 234338, + [SMALL_STATE(5173)] = 234358, + [SMALL_STATE(5174)] = 234378, + [SMALL_STATE(5175)] = 234398, + [SMALL_STATE(5176)] = 234418, + [SMALL_STATE(5177)] = 234438, + [SMALL_STATE(5178)] = 234458, + [SMALL_STATE(5179)] = 234478, + [SMALL_STATE(5180)] = 234498, + [SMALL_STATE(5181)] = 234518, + [SMALL_STATE(5182)] = 234538, + [SMALL_STATE(5183)] = 234558, + [SMALL_STATE(5184)] = 234578, + [SMALL_STATE(5185)] = 234593, + [SMALL_STATE(5186)] = 234604, + [SMALL_STATE(5187)] = 234615, + [SMALL_STATE(5188)] = 234626, + [SMALL_STATE(5189)] = 234637, + [SMALL_STATE(5190)] = 234648, + [SMALL_STATE(5191)] = 234659, + [SMALL_STATE(5192)] = 234674, + [SMALL_STATE(5193)] = 234685, + [SMALL_STATE(5194)] = 234696, + [SMALL_STATE(5195)] = 234713, + [SMALL_STATE(5196)] = 234730, + [SMALL_STATE(5197)] = 234741, + [SMALL_STATE(5198)] = 234752, + [SMALL_STATE(5199)] = 234763, + [SMALL_STATE(5200)] = 234778, + [SMALL_STATE(5201)] = 234793, + [SMALL_STATE(5202)] = 234804, + [SMALL_STATE(5203)] = 234815, + [SMALL_STATE(5204)] = 234826, + [SMALL_STATE(5205)] = 234837, + [SMALL_STATE(5206)] = 234852, + [SMALL_STATE(5207)] = 234867, + [SMALL_STATE(5208)] = 234882, + [SMALL_STATE(5209)] = 234893, + [SMALL_STATE(5210)] = 234904, + [SMALL_STATE(5211)] = 234915, + [SMALL_STATE(5212)] = 234930, + [SMALL_STATE(5213)] = 234945, + [SMALL_STATE(5214)] = 234956, + [SMALL_STATE(5215)] = 234971, + [SMALL_STATE(5216)] = 234982, + [SMALL_STATE(5217)] = 234993, + [SMALL_STATE(5218)] = 235004, + [SMALL_STATE(5219)] = 235019, + [SMALL_STATE(5220)] = 235034, + [SMALL_STATE(5221)] = 235047, + [SMALL_STATE(5222)] = 235064, + [SMALL_STATE(5223)] = 235079, + [SMALL_STATE(5224)] = 235094, + [SMALL_STATE(5225)] = 235111, + [SMALL_STATE(5226)] = 235124, + [SMALL_STATE(5227)] = 235141, + [SMALL_STATE(5228)] = 235158, + [SMALL_STATE(5229)] = 235173, + [SMALL_STATE(5230)] = 235184, + [SMALL_STATE(5231)] = 235195, + [SMALL_STATE(5232)] = 235210, + [SMALL_STATE(5233)] = 235221, + [SMALL_STATE(5234)] = 235236, + [SMALL_STATE(5235)] = 235247, + [SMALL_STATE(5236)] = 235262, + [SMALL_STATE(5237)] = 235277, + [SMALL_STATE(5238)] = 235288, + [SMALL_STATE(5239)] = 235303, + [SMALL_STATE(5240)] = 235318, + [SMALL_STATE(5241)] = 235333, + [SMALL_STATE(5242)] = 235350, + [SMALL_STATE(5243)] = 235361, + [SMALL_STATE(5244)] = 235376, + [SMALL_STATE(5245)] = 235387, + [SMALL_STATE(5246)] = 235398, + [SMALL_STATE(5247)] = 235409, + [SMALL_STATE(5248)] = 235424, + [SMALL_STATE(5249)] = 235439, + [SMALL_STATE(5250)] = 235450, + [SMALL_STATE(5251)] = 235461, + [SMALL_STATE(5252)] = 235476, + [SMALL_STATE(5253)] = 235493, + [SMALL_STATE(5254)] = 235508, + [SMALL_STATE(5255)] = 235519, + [SMALL_STATE(5256)] = 235536, + [SMALL_STATE(5257)] = 235551, + [SMALL_STATE(5258)] = 235566, + [SMALL_STATE(5259)] = 235581, + [SMALL_STATE(5260)] = 235592, + [SMALL_STATE(5261)] = 235603, + [SMALL_STATE(5262)] = 235620, + [SMALL_STATE(5263)] = 235633, + [SMALL_STATE(5264)] = 235644, + [SMALL_STATE(5265)] = 235661, + [SMALL_STATE(5266)] = 235678, + [SMALL_STATE(5267)] = 235689, + [SMALL_STATE(5268)] = 235704, + [SMALL_STATE(5269)] = 235719, + [SMALL_STATE(5270)] = 235734, + [SMALL_STATE(5271)] = 235745, + [SMALL_STATE(5272)] = 235760, + [SMALL_STATE(5273)] = 235771, + [SMALL_STATE(5274)] = 235786, + [SMALL_STATE(5275)] = 235799, + [SMALL_STATE(5276)] = 235816, + [SMALL_STATE(5277)] = 235829, + [SMALL_STATE(5278)] = 235846, + [SMALL_STATE(5279)] = 235861, + [SMALL_STATE(5280)] = 235878, + [SMALL_STATE(5281)] = 235893, + [SMALL_STATE(5282)] = 235908, + [SMALL_STATE(5283)] = 235921, + [SMALL_STATE(5284)] = 235936, + [SMALL_STATE(5285)] = 235951, + [SMALL_STATE(5286)] = 235968, + [SMALL_STATE(5287)] = 235983, + [SMALL_STATE(5288)] = 235998, + [SMALL_STATE(5289)] = 236015, + [SMALL_STATE(5290)] = 236026, + [SMALL_STATE(5291)] = 236043, + [SMALL_STATE(5292)] = 236060, + [SMALL_STATE(5293)] = 236077, + [SMALL_STATE(5294)] = 236088, + [SMALL_STATE(5295)] = 236103, + [SMALL_STATE(5296)] = 236114, + [SMALL_STATE(5297)] = 236131, + [SMALL_STATE(5298)] = 236146, + [SMALL_STATE(5299)] = 236159, + [SMALL_STATE(5300)] = 236174, + [SMALL_STATE(5301)] = 236189, + [SMALL_STATE(5302)] = 236204, + [SMALL_STATE(5303)] = 236219, + [SMALL_STATE(5304)] = 236236, + [SMALL_STATE(5305)] = 236253, + [SMALL_STATE(5306)] = 236268, + [SMALL_STATE(5307)] = 236285, + [SMALL_STATE(5308)] = 236300, + [SMALL_STATE(5309)] = 236317, + [SMALL_STATE(5310)] = 236328, + [SMALL_STATE(5311)] = 236343, + [SMALL_STATE(5312)] = 236358, + [SMALL_STATE(5313)] = 236373, + [SMALL_STATE(5314)] = 236384, + [SMALL_STATE(5315)] = 236401, + [SMALL_STATE(5316)] = 236416, + [SMALL_STATE(5317)] = 236431, + [SMALL_STATE(5318)] = 236446, + [SMALL_STATE(5319)] = 236457, + [SMALL_STATE(5320)] = 236472, + [SMALL_STATE(5321)] = 236487, + [SMALL_STATE(5322)] = 236498, + [SMALL_STATE(5323)] = 236513, + [SMALL_STATE(5324)] = 236528, + [SMALL_STATE(5325)] = 236545, + [SMALL_STATE(5326)] = 236560, + [SMALL_STATE(5327)] = 236575, + [SMALL_STATE(5328)] = 236586, + [SMALL_STATE(5329)] = 236601, + [SMALL_STATE(5330)] = 236616, + [SMALL_STATE(5331)] = 236631, + [SMALL_STATE(5332)] = 236646, + [SMALL_STATE(5333)] = 236661, + [SMALL_STATE(5334)] = 236678, + [SMALL_STATE(5335)] = 236693, + [SMALL_STATE(5336)] = 236708, + [SMALL_STATE(5337)] = 236719, + [SMALL_STATE(5338)] = 236733, + [SMALL_STATE(5339)] = 236747, + [SMALL_STATE(5340)] = 236759, + [SMALL_STATE(5341)] = 236773, + [SMALL_STATE(5342)] = 236787, + [SMALL_STATE(5343)] = 236801, + [SMALL_STATE(5344)] = 236815, + [SMALL_STATE(5345)] = 236829, + [SMALL_STATE(5346)] = 236843, + [SMALL_STATE(5347)] = 236857, + [SMALL_STATE(5348)] = 236871, + [SMALL_STATE(5349)] = 236885, + [SMALL_STATE(5350)] = 236899, + [SMALL_STATE(5351)] = 236913, + [SMALL_STATE(5352)] = 236927, + [SMALL_STATE(5353)] = 236941, + [SMALL_STATE(5354)] = 236955, + [SMALL_STATE(5355)] = 236969, + [SMALL_STATE(5356)] = 236983, + [SMALL_STATE(5357)] = 236997, + [SMALL_STATE(5358)] = 237011, + [SMALL_STATE(5359)] = 237025, + [SMALL_STATE(5360)] = 237039, + [SMALL_STATE(5361)] = 237053, + [SMALL_STATE(5362)] = 237067, + [SMALL_STATE(5363)] = 237081, + [SMALL_STATE(5364)] = 237095, + [SMALL_STATE(5365)] = 237109, + [SMALL_STATE(5366)] = 237121, + [SMALL_STATE(5367)] = 237135, + [SMALL_STATE(5368)] = 237149, + [SMALL_STATE(5369)] = 237163, + [SMALL_STATE(5370)] = 237177, + [SMALL_STATE(5371)] = 237191, + [SMALL_STATE(5372)] = 237205, + [SMALL_STATE(5373)] = 237215, + [SMALL_STATE(5374)] = 237229, + [SMALL_STATE(5375)] = 237243, + [SMALL_STATE(5376)] = 237257, + [SMALL_STATE(5377)] = 237271, + [SMALL_STATE(5378)] = 237285, + [SMALL_STATE(5379)] = 237299, + [SMALL_STATE(5380)] = 237313, + [SMALL_STATE(5381)] = 237323, + [SMALL_STATE(5382)] = 237337, + [SMALL_STATE(5383)] = 237351, + [SMALL_STATE(5384)] = 237365, + [SMALL_STATE(5385)] = 237379, + [SMALL_STATE(5386)] = 237393, + [SMALL_STATE(5387)] = 237405, + [SMALL_STATE(5388)] = 237417, + [SMALL_STATE(5389)] = 237431, + [SMALL_STATE(5390)] = 237445, + [SMALL_STATE(5391)] = 237459, + [SMALL_STATE(5392)] = 237473, + [SMALL_STATE(5393)] = 237487, + [SMALL_STATE(5394)] = 237501, + [SMALL_STATE(5395)] = 237515, + [SMALL_STATE(5396)] = 237529, + [SMALL_STATE(5397)] = 237543, + [SMALL_STATE(5398)] = 237557, + [SMALL_STATE(5399)] = 237569, + [SMALL_STATE(5400)] = 237583, + [SMALL_STATE(5401)] = 237597, + [SMALL_STATE(5402)] = 237611, + [SMALL_STATE(5403)] = 237623, + [SMALL_STATE(5404)] = 237637, + [SMALL_STATE(5405)] = 237651, + [SMALL_STATE(5406)] = 237665, + [SMALL_STATE(5407)] = 237679, + [SMALL_STATE(5408)] = 237693, + [SMALL_STATE(5409)] = 237707, + [SMALL_STATE(5410)] = 237721, + [SMALL_STATE(5411)] = 237735, + [SMALL_STATE(5412)] = 237749, + [SMALL_STATE(5413)] = 237763, + [SMALL_STATE(5414)] = 237777, + [SMALL_STATE(5415)] = 237791, + [SMALL_STATE(5416)] = 237801, + [SMALL_STATE(5417)] = 237815, + [SMALL_STATE(5418)] = 237829, + [SMALL_STATE(5419)] = 237843, + [SMALL_STATE(5420)] = 237855, + [SMALL_STATE(5421)] = 237869, + [SMALL_STATE(5422)] = 237883, + [SMALL_STATE(5423)] = 237897, + [SMALL_STATE(5424)] = 237911, + [SMALL_STATE(5425)] = 237923, + [SMALL_STATE(5426)] = 237937, + [SMALL_STATE(5427)] = 237951, + [SMALL_STATE(5428)] = 237963, + [SMALL_STATE(5429)] = 237975, + [SMALL_STATE(5430)] = 237989, + [SMALL_STATE(5431)] = 238003, + [SMALL_STATE(5432)] = 238017, + [SMALL_STATE(5433)] = 238031, + [SMALL_STATE(5434)] = 238045, + [SMALL_STATE(5435)] = 238059, + [SMALL_STATE(5436)] = 238073, + [SMALL_STATE(5437)] = 238087, + [SMALL_STATE(5438)] = 238101, + [SMALL_STATE(5439)] = 238115, + [SMALL_STATE(5440)] = 238129, + [SMALL_STATE(5441)] = 238143, + [SMALL_STATE(5442)] = 238157, + [SMALL_STATE(5443)] = 238171, + [SMALL_STATE(5444)] = 238185, + [SMALL_STATE(5445)] = 238197, + [SMALL_STATE(5446)] = 238211, + [SMALL_STATE(5447)] = 238225, + [SMALL_STATE(5448)] = 238239, + [SMALL_STATE(5449)] = 238253, + [SMALL_STATE(5450)] = 238267, + [SMALL_STATE(5451)] = 238281, + [SMALL_STATE(5452)] = 238291, + [SMALL_STATE(5453)] = 238305, + [SMALL_STATE(5454)] = 238319, + [SMALL_STATE(5455)] = 238333, + [SMALL_STATE(5456)] = 238347, + [SMALL_STATE(5457)] = 238361, + [SMALL_STATE(5458)] = 238375, + [SMALL_STATE(5459)] = 238389, + [SMALL_STATE(5460)] = 238403, + [SMALL_STATE(5461)] = 238417, + [SMALL_STATE(5462)] = 238431, + [SMALL_STATE(5463)] = 238445, + [SMALL_STATE(5464)] = 238459, + [SMALL_STATE(5465)] = 238473, + [SMALL_STATE(5466)] = 238487, + [SMALL_STATE(5467)] = 238501, + [SMALL_STATE(5468)] = 238515, + [SMALL_STATE(5469)] = 238529, + [SMALL_STATE(5470)] = 238543, + [SMALL_STATE(5471)] = 238557, + [SMALL_STATE(5472)] = 238567, + [SMALL_STATE(5473)] = 238577, + [SMALL_STATE(5474)] = 238587, + [SMALL_STATE(5475)] = 238601, + [SMALL_STATE(5476)] = 238613, + [SMALL_STATE(5477)] = 238623, + [SMALL_STATE(5478)] = 238635, + [SMALL_STATE(5479)] = 238647, + [SMALL_STATE(5480)] = 238661, + [SMALL_STATE(5481)] = 238675, + [SMALL_STATE(5482)] = 238689, + [SMALL_STATE(5483)] = 238701, + [SMALL_STATE(5484)] = 238715, + [SMALL_STATE(5485)] = 238729, + [SMALL_STATE(5486)] = 238743, + [SMALL_STATE(5487)] = 238753, + [SMALL_STATE(5488)] = 238767, + [SMALL_STATE(5489)] = 238781, + [SMALL_STATE(5490)] = 238795, + [SMALL_STATE(5491)] = 238809, + [SMALL_STATE(5492)] = 238823, + [SMALL_STATE(5493)] = 238837, + [SMALL_STATE(5494)] = 238851, + [SMALL_STATE(5495)] = 238865, + [SMALL_STATE(5496)] = 238879, + [SMALL_STATE(5497)] = 238893, + [SMALL_STATE(5498)] = 238907, + [SMALL_STATE(5499)] = 238921, + [SMALL_STATE(5500)] = 238935, + [SMALL_STATE(5501)] = 238949, + [SMALL_STATE(5502)] = 238963, + [SMALL_STATE(5503)] = 238977, + [SMALL_STATE(5504)] = 238991, + [SMALL_STATE(5505)] = 239005, + [SMALL_STATE(5506)] = 239019, + [SMALL_STATE(5507)] = 239033, + [SMALL_STATE(5508)] = 239047, + [SMALL_STATE(5509)] = 239061, + [SMALL_STATE(5510)] = 239071, + [SMALL_STATE(5511)] = 239085, + [SMALL_STATE(5512)] = 239095, + [SMALL_STATE(5513)] = 239109, + [SMALL_STATE(5514)] = 239123, + [SMALL_STATE(5515)] = 239137, + [SMALL_STATE(5516)] = 239151, + [SMALL_STATE(5517)] = 239165, + [SMALL_STATE(5518)] = 239179, + [SMALL_STATE(5519)] = 239193, + [SMALL_STATE(5520)] = 239207, + [SMALL_STATE(5521)] = 239219, + [SMALL_STATE(5522)] = 239233, + [SMALL_STATE(5523)] = 239245, + [SMALL_STATE(5524)] = 239259, + [SMALL_STATE(5525)] = 239273, + [SMALL_STATE(5526)] = 239287, + [SMALL_STATE(5527)] = 239301, + [SMALL_STATE(5528)] = 239315, + [SMALL_STATE(5529)] = 239327, + [SMALL_STATE(5530)] = 239339, + [SMALL_STATE(5531)] = 239349, + [SMALL_STATE(5532)] = 239363, + [SMALL_STATE(5533)] = 239377, + [SMALL_STATE(5534)] = 239389, + [SMALL_STATE(5535)] = 239399, + [SMALL_STATE(5536)] = 239409, + [SMALL_STATE(5537)] = 239423, + [SMALL_STATE(5538)] = 239433, + [SMALL_STATE(5539)] = 239443, + [SMALL_STATE(5540)] = 239457, + [SMALL_STATE(5541)] = 239471, + [SMALL_STATE(5542)] = 239485, + [SMALL_STATE(5543)] = 239495, + [SMALL_STATE(5544)] = 239509, + [SMALL_STATE(5545)] = 239523, + [SMALL_STATE(5546)] = 239537, + [SMALL_STATE(5547)] = 239549, + [SMALL_STATE(5548)] = 239561, + [SMALL_STATE(5549)] = 239575, + [SMALL_STATE(5550)] = 239589, + [SMALL_STATE(5551)] = 239603, + [SMALL_STATE(5552)] = 239617, + [SMALL_STATE(5553)] = 239627, + [SMALL_STATE(5554)] = 239641, + [SMALL_STATE(5555)] = 239655, + [SMALL_STATE(5556)] = 239669, + [SMALL_STATE(5557)] = 239683, + [SMALL_STATE(5558)] = 239697, + [SMALL_STATE(5559)] = 239707, + [SMALL_STATE(5560)] = 239721, + [SMALL_STATE(5561)] = 239735, + [SMALL_STATE(5562)] = 239749, + [SMALL_STATE(5563)] = 239763, + [SMALL_STATE(5564)] = 239777, + [SMALL_STATE(5565)] = 239787, + [SMALL_STATE(5566)] = 239797, + [SMALL_STATE(5567)] = 239807, + [SMALL_STATE(5568)] = 239817, + [SMALL_STATE(5569)] = 239827, + [SMALL_STATE(5570)] = 239841, + [SMALL_STATE(5571)] = 239855, + [SMALL_STATE(5572)] = 239869, + [SMALL_STATE(5573)] = 239883, + [SMALL_STATE(5574)] = 239897, + [SMALL_STATE(5575)] = 239911, + [SMALL_STATE(5576)] = 239923, + [SMALL_STATE(5577)] = 239935, + [SMALL_STATE(5578)] = 239949, + [SMALL_STATE(5579)] = 239963, + [SMALL_STATE(5580)] = 239973, + [SMALL_STATE(5581)] = 239983, + [SMALL_STATE(5582)] = 239993, + [SMALL_STATE(5583)] = 240003, + [SMALL_STATE(5584)] = 240017, + [SMALL_STATE(5585)] = 240031, + [SMALL_STATE(5586)] = 240041, + [SMALL_STATE(5587)] = 240051, + [SMALL_STATE(5588)] = 240061, + [SMALL_STATE(5589)] = 240071, + [SMALL_STATE(5590)] = 240083, + [SMALL_STATE(5591)] = 240095, + [SMALL_STATE(5592)] = 240109, + [SMALL_STATE(5593)] = 240123, + [SMALL_STATE(5594)] = 240137, + [SMALL_STATE(5595)] = 240151, + [SMALL_STATE(5596)] = 240165, + [SMALL_STATE(5597)] = 240179, + [SMALL_STATE(5598)] = 240193, + [SMALL_STATE(5599)] = 240207, + [SMALL_STATE(5600)] = 240221, + [SMALL_STATE(5601)] = 240235, + [SMALL_STATE(5602)] = 240245, + [SMALL_STATE(5603)] = 240259, + [SMALL_STATE(5604)] = 240273, + [SMALL_STATE(5605)] = 240287, + [SMALL_STATE(5606)] = 240301, + [SMALL_STATE(5607)] = 240315, + [SMALL_STATE(5608)] = 240329, + [SMALL_STATE(5609)] = 240341, + [SMALL_STATE(5610)] = 240355, + [SMALL_STATE(5611)] = 240367, + [SMALL_STATE(5612)] = 240381, + [SMALL_STATE(5613)] = 240391, + [SMALL_STATE(5614)] = 240405, + [SMALL_STATE(5615)] = 240419, + [SMALL_STATE(5616)] = 240429, + [SMALL_STATE(5617)] = 240441, + [SMALL_STATE(5618)] = 240455, + [SMALL_STATE(5619)] = 240469, + [SMALL_STATE(5620)] = 240481, + [SMALL_STATE(5621)] = 240493, + [SMALL_STATE(5622)] = 240505, + [SMALL_STATE(5623)] = 240517, + [SMALL_STATE(5624)] = 240529, + [SMALL_STATE(5625)] = 240543, + [SMALL_STATE(5626)] = 240557, + [SMALL_STATE(5627)] = 240569, + [SMALL_STATE(5628)] = 240581, + [SMALL_STATE(5629)] = 240591, + [SMALL_STATE(5630)] = 240601, + [SMALL_STATE(5631)] = 240615, + [SMALL_STATE(5632)] = 240629, + [SMALL_STATE(5633)] = 240643, + [SMALL_STATE(5634)] = 240657, + [SMALL_STATE(5635)] = 240671, + [SMALL_STATE(5636)] = 240683, + [SMALL_STATE(5637)] = 240697, + [SMALL_STATE(5638)] = 240711, + [SMALL_STATE(5639)] = 240725, + [SMALL_STATE(5640)] = 240739, + [SMALL_STATE(5641)] = 240753, + [SMALL_STATE(5642)] = 240767, + [SMALL_STATE(5643)] = 240781, + [SMALL_STATE(5644)] = 240793, + [SMALL_STATE(5645)] = 240805, + [SMALL_STATE(5646)] = 240819, + [SMALL_STATE(5647)] = 240833, + [SMALL_STATE(5648)] = 240847, + [SMALL_STATE(5649)] = 240861, + [SMALL_STATE(5650)] = 240875, + [SMALL_STATE(5651)] = 240889, + [SMALL_STATE(5652)] = 240903, + [SMALL_STATE(5653)] = 240917, + [SMALL_STATE(5654)] = 240931, + [SMALL_STATE(5655)] = 240945, + [SMALL_STATE(5656)] = 240955, + [SMALL_STATE(5657)] = 240965, + [SMALL_STATE(5658)] = 240979, + [SMALL_STATE(5659)] = 240989, + [SMALL_STATE(5660)] = 240999, + [SMALL_STATE(5661)] = 241013, + [SMALL_STATE(5662)] = 241027, + [SMALL_STATE(5663)] = 241041, + [SMALL_STATE(5664)] = 241055, + [SMALL_STATE(5665)] = 241069, + [SMALL_STATE(5666)] = 241083, + [SMALL_STATE(5667)] = 241093, + [SMALL_STATE(5668)] = 241105, + [SMALL_STATE(5669)] = 241119, + [SMALL_STATE(5670)] = 241133, + [SMALL_STATE(5671)] = 241143, + [SMALL_STATE(5672)] = 241157, + [SMALL_STATE(5673)] = 241171, + [SMALL_STATE(5674)] = 241185, + [SMALL_STATE(5675)] = 241195, + [SMALL_STATE(5676)] = 241209, + [SMALL_STATE(5677)] = 241223, + [SMALL_STATE(5678)] = 241237, + [SMALL_STATE(5679)] = 241251, + [SMALL_STATE(5680)] = 241261, + [SMALL_STATE(5681)] = 241271, + [SMALL_STATE(5682)] = 241285, + [SMALL_STATE(5683)] = 241295, + [SMALL_STATE(5684)] = 241305, + [SMALL_STATE(5685)] = 241315, + [SMALL_STATE(5686)] = 241325, + [SMALL_STATE(5687)] = 241335, + [SMALL_STATE(5688)] = 241349, + [SMALL_STATE(5689)] = 241358, + [SMALL_STATE(5690)] = 241369, + [SMALL_STATE(5691)] = 241378, + [SMALL_STATE(5692)] = 241389, + [SMALL_STATE(5693)] = 241398, + [SMALL_STATE(5694)] = 241407, + [SMALL_STATE(5695)] = 241418, + [SMALL_STATE(5696)] = 241429, + [SMALL_STATE(5697)] = 241438, + [SMALL_STATE(5698)] = 241449, + [SMALL_STATE(5699)] = 241460, + [SMALL_STATE(5700)] = 241471, + [SMALL_STATE(5701)] = 241482, + [SMALL_STATE(5702)] = 241493, + [SMALL_STATE(5703)] = 241504, + [SMALL_STATE(5704)] = 241515, + [SMALL_STATE(5705)] = 241526, + [SMALL_STATE(5706)] = 241537, + [SMALL_STATE(5707)] = 241546, + [SMALL_STATE(5708)] = 241555, + [SMALL_STATE(5709)] = 241566, + [SMALL_STATE(5710)] = 241577, + [SMALL_STATE(5711)] = 241586, + [SMALL_STATE(5712)] = 241597, + [SMALL_STATE(5713)] = 241608, + [SMALL_STATE(5714)] = 241619, + [SMALL_STATE(5715)] = 241630, + [SMALL_STATE(5716)] = 241639, + [SMALL_STATE(5717)] = 241650, + [SMALL_STATE(5718)] = 241659, + [SMALL_STATE(5719)] = 241668, + [SMALL_STATE(5720)] = 241679, + [SMALL_STATE(5721)] = 241690, + [SMALL_STATE(5722)] = 241701, + [SMALL_STATE(5723)] = 241712, + [SMALL_STATE(5724)] = 241721, + [SMALL_STATE(5725)] = 241732, + [SMALL_STATE(5726)] = 241741, + [SMALL_STATE(5727)] = 241750, + [SMALL_STATE(5728)] = 241761, + [SMALL_STATE(5729)] = 241772, + [SMALL_STATE(5730)] = 241783, + [SMALL_STATE(5731)] = 241792, + [SMALL_STATE(5732)] = 241801, + [SMALL_STATE(5733)] = 241812, + [SMALL_STATE(5734)] = 241821, + [SMALL_STATE(5735)] = 241832, + [SMALL_STATE(5736)] = 241843, + [SMALL_STATE(5737)] = 241852, + [SMALL_STATE(5738)] = 241861, + [SMALL_STATE(5739)] = 241872, + [SMALL_STATE(5740)] = 241881, + [SMALL_STATE(5741)] = 241890, + [SMALL_STATE(5742)] = 241899, + [SMALL_STATE(5743)] = 241908, + [SMALL_STATE(5744)] = 241917, + [SMALL_STATE(5745)] = 241926, + [SMALL_STATE(5746)] = 241937, + [SMALL_STATE(5747)] = 241948, + [SMALL_STATE(5748)] = 241959, + [SMALL_STATE(5749)] = 241970, + [SMALL_STATE(5750)] = 241981, + [SMALL_STATE(5751)] = 241990, + [SMALL_STATE(5752)] = 242001, + [SMALL_STATE(5753)] = 242010, + [SMALL_STATE(5754)] = 242019, + [SMALL_STATE(5755)] = 242028, + [SMALL_STATE(5756)] = 242039, + [SMALL_STATE(5757)] = 242050, + [SMALL_STATE(5758)] = 242061, + [SMALL_STATE(5759)] = 242072, + [SMALL_STATE(5760)] = 242081, + [SMALL_STATE(5761)] = 242090, + [SMALL_STATE(5762)] = 242101, + [SMALL_STATE(5763)] = 242110, + [SMALL_STATE(5764)] = 242121, + [SMALL_STATE(5765)] = 242130, + [SMALL_STATE(5766)] = 242141, + [SMALL_STATE(5767)] = 242150, + [SMALL_STATE(5768)] = 242161, + [SMALL_STATE(5769)] = 242172, + [SMALL_STATE(5770)] = 242183, + [SMALL_STATE(5771)] = 242194, + [SMALL_STATE(5772)] = 242205, + [SMALL_STATE(5773)] = 242214, + [SMALL_STATE(5774)] = 242223, + [SMALL_STATE(5775)] = 242234, + [SMALL_STATE(5776)] = 242245, + [SMALL_STATE(5777)] = 242256, + [SMALL_STATE(5778)] = 242267, + [SMALL_STATE(5779)] = 242278, + [SMALL_STATE(5780)] = 242289, + [SMALL_STATE(5781)] = 242300, + [SMALL_STATE(5782)] = 242311, + [SMALL_STATE(5783)] = 242320, + [SMALL_STATE(5784)] = 242331, + [SMALL_STATE(5785)] = 242340, + [SMALL_STATE(5786)] = 242349, + [SMALL_STATE(5787)] = 242358, + [SMALL_STATE(5788)] = 242367, + [SMALL_STATE(5789)] = 242376, + [SMALL_STATE(5790)] = 242385, + [SMALL_STATE(5791)] = 242394, + [SMALL_STATE(5792)] = 242405, + [SMALL_STATE(5793)] = 242414, + [SMALL_STATE(5794)] = 242423, + [SMALL_STATE(5795)] = 242432, + [SMALL_STATE(5796)] = 242443, + [SMALL_STATE(5797)] = 242454, + [SMALL_STATE(5798)] = 242465, + [SMALL_STATE(5799)] = 242476, + [SMALL_STATE(5800)] = 242487, + [SMALL_STATE(5801)] = 242496, + [SMALL_STATE(5802)] = 242507, + [SMALL_STATE(5803)] = 242518, + [SMALL_STATE(5804)] = 242527, + [SMALL_STATE(5805)] = 242538, + [SMALL_STATE(5806)] = 242547, + [SMALL_STATE(5807)] = 242558, + [SMALL_STATE(5808)] = 242567, + [SMALL_STATE(5809)] = 242578, + [SMALL_STATE(5810)] = 242589, + [SMALL_STATE(5811)] = 242598, + [SMALL_STATE(5812)] = 242609, + [SMALL_STATE(5813)] = 242618, + [SMALL_STATE(5814)] = 242629, + [SMALL_STATE(5815)] = 242638, + [SMALL_STATE(5816)] = 242647, + [SMALL_STATE(5817)] = 242656, + [SMALL_STATE(5818)] = 242667, + [SMALL_STATE(5819)] = 242676, + [SMALL_STATE(5820)] = 242685, + [SMALL_STATE(5821)] = 242694, + [SMALL_STATE(5822)] = 242703, + [SMALL_STATE(5823)] = 242714, + [SMALL_STATE(5824)] = 242723, + [SMALL_STATE(5825)] = 242732, + [SMALL_STATE(5826)] = 242743, + [SMALL_STATE(5827)] = 242754, + [SMALL_STATE(5828)] = 242765, + [SMALL_STATE(5829)] = 242776, + [SMALL_STATE(5830)] = 242785, + [SMALL_STATE(5831)] = 242794, + [SMALL_STATE(5832)] = 242803, + [SMALL_STATE(5833)] = 242814, + [SMALL_STATE(5834)] = 242823, + [SMALL_STATE(5835)] = 242832, + [SMALL_STATE(5836)] = 242843, + [SMALL_STATE(5837)] = 242852, + [SMALL_STATE(5838)] = 242863, + [SMALL_STATE(5839)] = 242872, + [SMALL_STATE(5840)] = 242881, + [SMALL_STATE(5841)] = 242892, + [SMALL_STATE(5842)] = 242903, + [SMALL_STATE(5843)] = 242912, + [SMALL_STATE(5844)] = 242923, + [SMALL_STATE(5845)] = 242934, + [SMALL_STATE(5846)] = 242945, + [SMALL_STATE(5847)] = 242956, + [SMALL_STATE(5848)] = 242967, + [SMALL_STATE(5849)] = 242976, + [SMALL_STATE(5850)] = 242985, + [SMALL_STATE(5851)] = 242996, + [SMALL_STATE(5852)] = 243005, + [SMALL_STATE(5853)] = 243014, + [SMALL_STATE(5854)] = 243025, + [SMALL_STATE(5855)] = 243036, + [SMALL_STATE(5856)] = 243047, + [SMALL_STATE(5857)] = 243058, + [SMALL_STATE(5858)] = 243069, + [SMALL_STATE(5859)] = 243080, + [SMALL_STATE(5860)] = 243091, + [SMALL_STATE(5861)] = 243102, + [SMALL_STATE(5862)] = 243113, + [SMALL_STATE(5863)] = 243124, + [SMALL_STATE(5864)] = 243135, + [SMALL_STATE(5865)] = 243146, + [SMALL_STATE(5866)] = 243157, + [SMALL_STATE(5867)] = 243168, + [SMALL_STATE(5868)] = 243179, + [SMALL_STATE(5869)] = 243190, + [SMALL_STATE(5870)] = 243199, + [SMALL_STATE(5871)] = 243210, + [SMALL_STATE(5872)] = 243221, + [SMALL_STATE(5873)] = 243232, + [SMALL_STATE(5874)] = 243243, + [SMALL_STATE(5875)] = 243254, + [SMALL_STATE(5876)] = 243263, + [SMALL_STATE(5877)] = 243274, + [SMALL_STATE(5878)] = 243283, + [SMALL_STATE(5879)] = 243294, + [SMALL_STATE(5880)] = 243305, + [SMALL_STATE(5881)] = 243316, + [SMALL_STATE(5882)] = 243327, + [SMALL_STATE(5883)] = 243336, + [SMALL_STATE(5884)] = 243345, + [SMALL_STATE(5885)] = 243356, + [SMALL_STATE(5886)] = 243367, + [SMALL_STATE(5887)] = 243376, + [SMALL_STATE(5888)] = 243385, + [SMALL_STATE(5889)] = 243396, + [SMALL_STATE(5890)] = 243407, + [SMALL_STATE(5891)] = 243418, + [SMALL_STATE(5892)] = 243429, + [SMALL_STATE(5893)] = 243440, + [SMALL_STATE(5894)] = 243449, + [SMALL_STATE(5895)] = 243460, + [SMALL_STATE(5896)] = 243471, + [SMALL_STATE(5897)] = 243480, + [SMALL_STATE(5898)] = 243491, + [SMALL_STATE(5899)] = 243500, + [SMALL_STATE(5900)] = 243511, + [SMALL_STATE(5901)] = 243520, + [SMALL_STATE(5902)] = 243531, + [SMALL_STATE(5903)] = 243542, + [SMALL_STATE(5904)] = 243551, + [SMALL_STATE(5905)] = 243560, + [SMALL_STATE(5906)] = 243569, + [SMALL_STATE(5907)] = 243578, + [SMALL_STATE(5908)] = 243587, + [SMALL_STATE(5909)] = 243596, + [SMALL_STATE(5910)] = 243605, + [SMALL_STATE(5911)] = 243616, + [SMALL_STATE(5912)] = 243627, + [SMALL_STATE(5913)] = 243638, + [SMALL_STATE(5914)] = 243649, + [SMALL_STATE(5915)] = 243660, + [SMALL_STATE(5916)] = 243669, + [SMALL_STATE(5917)] = 243678, + [SMALL_STATE(5918)] = 243689, + [SMALL_STATE(5919)] = 243698, + [SMALL_STATE(5920)] = 243707, + [SMALL_STATE(5921)] = 243716, + [SMALL_STATE(5922)] = 243725, + [SMALL_STATE(5923)] = 243734, + [SMALL_STATE(5924)] = 243745, + [SMALL_STATE(5925)] = 243756, + [SMALL_STATE(5926)] = 243767, + [SMALL_STATE(5927)] = 243778, + [SMALL_STATE(5928)] = 243789, + [SMALL_STATE(5929)] = 243798, + [SMALL_STATE(5930)] = 243809, + [SMALL_STATE(5931)] = 243818, + [SMALL_STATE(5932)] = 243829, + [SMALL_STATE(5933)] = 243837, + [SMALL_STATE(5934)] = 243845, + [SMALL_STATE(5935)] = 243853, + [SMALL_STATE(5936)] = 243861, + [SMALL_STATE(5937)] = 243869, + [SMALL_STATE(5938)] = 243877, + [SMALL_STATE(5939)] = 243885, + [SMALL_STATE(5940)] = 243893, + [SMALL_STATE(5941)] = 243901, + [SMALL_STATE(5942)] = 243909, + [SMALL_STATE(5943)] = 243917, + [SMALL_STATE(5944)] = 243925, + [SMALL_STATE(5945)] = 243933, + [SMALL_STATE(5946)] = 243941, + [SMALL_STATE(5947)] = 243949, + [SMALL_STATE(5948)] = 243957, + [SMALL_STATE(5949)] = 243965, + [SMALL_STATE(5950)] = 243973, + [SMALL_STATE(5951)] = 243981, + [SMALL_STATE(5952)] = 243989, + [SMALL_STATE(5953)] = 243997, + [SMALL_STATE(5954)] = 244005, + [SMALL_STATE(5955)] = 244013, + [SMALL_STATE(5956)] = 244021, + [SMALL_STATE(5957)] = 244029, + [SMALL_STATE(5958)] = 244037, + [SMALL_STATE(5959)] = 244045, + [SMALL_STATE(5960)] = 244053, + [SMALL_STATE(5961)] = 244061, + [SMALL_STATE(5962)] = 244069, + [SMALL_STATE(5963)] = 244077, + [SMALL_STATE(5964)] = 244085, + [SMALL_STATE(5965)] = 244093, + [SMALL_STATE(5966)] = 244101, + [SMALL_STATE(5967)] = 244109, + [SMALL_STATE(5968)] = 244117, + [SMALL_STATE(5969)] = 244125, + [SMALL_STATE(5970)] = 244133, + [SMALL_STATE(5971)] = 244141, + [SMALL_STATE(5972)] = 244149, + [SMALL_STATE(5973)] = 244157, + [SMALL_STATE(5974)] = 244165, + [SMALL_STATE(5975)] = 244173, + [SMALL_STATE(5976)] = 244181, + [SMALL_STATE(5977)] = 244189, + [SMALL_STATE(5978)] = 244197, + [SMALL_STATE(5979)] = 244205, + [SMALL_STATE(5980)] = 244213, + [SMALL_STATE(5981)] = 244221, + [SMALL_STATE(5982)] = 244229, + [SMALL_STATE(5983)] = 244237, + [SMALL_STATE(5984)] = 244245, + [SMALL_STATE(5985)] = 244253, + [SMALL_STATE(5986)] = 244261, + [SMALL_STATE(5987)] = 244269, + [SMALL_STATE(5988)] = 244277, + [SMALL_STATE(5989)] = 244285, + [SMALL_STATE(5990)] = 244293, + [SMALL_STATE(5991)] = 244301, + [SMALL_STATE(5992)] = 244309, + [SMALL_STATE(5993)] = 244317, + [SMALL_STATE(5994)] = 244325, + [SMALL_STATE(5995)] = 244333, + [SMALL_STATE(5996)] = 244341, + [SMALL_STATE(5997)] = 244349, + [SMALL_STATE(5998)] = 244357, + [SMALL_STATE(5999)] = 244365, + [SMALL_STATE(6000)] = 244373, + [SMALL_STATE(6001)] = 244381, + [SMALL_STATE(6002)] = 244389, + [SMALL_STATE(6003)] = 244397, + [SMALL_STATE(6004)] = 244405, + [SMALL_STATE(6005)] = 244413, + [SMALL_STATE(6006)] = 244421, + [SMALL_STATE(6007)] = 244429, + [SMALL_STATE(6008)] = 244437, + [SMALL_STATE(6009)] = 244445, + [SMALL_STATE(6010)] = 244453, + [SMALL_STATE(6011)] = 244461, + [SMALL_STATE(6012)] = 244469, + [SMALL_STATE(6013)] = 244477, + [SMALL_STATE(6014)] = 244485, + [SMALL_STATE(6015)] = 244493, + [SMALL_STATE(6016)] = 244501, + [SMALL_STATE(6017)] = 244509, + [SMALL_STATE(6018)] = 244517, + [SMALL_STATE(6019)] = 244525, + [SMALL_STATE(6020)] = 244533, + [SMALL_STATE(6021)] = 244541, + [SMALL_STATE(6022)] = 244549, + [SMALL_STATE(6023)] = 244557, + [SMALL_STATE(6024)] = 244565, + [SMALL_STATE(6025)] = 244573, + [SMALL_STATE(6026)] = 244581, + [SMALL_STATE(6027)] = 244589, + [SMALL_STATE(6028)] = 244597, + [SMALL_STATE(6029)] = 244605, + [SMALL_STATE(6030)] = 244613, + [SMALL_STATE(6031)] = 244621, + [SMALL_STATE(6032)] = 244629, + [SMALL_STATE(6033)] = 244637, + [SMALL_STATE(6034)] = 244645, + [SMALL_STATE(6035)] = 244653, + [SMALL_STATE(6036)] = 244661, + [SMALL_STATE(6037)] = 244669, + [SMALL_STATE(6038)] = 244677, + [SMALL_STATE(6039)] = 244685, + [SMALL_STATE(6040)] = 244693, + [SMALL_STATE(6041)] = 244701, + [SMALL_STATE(6042)] = 244709, + [SMALL_STATE(6043)] = 244717, + [SMALL_STATE(6044)] = 244725, + [SMALL_STATE(6045)] = 244733, + [SMALL_STATE(6046)] = 244741, + [SMALL_STATE(6047)] = 244749, + [SMALL_STATE(6048)] = 244757, + [SMALL_STATE(6049)] = 244765, + [SMALL_STATE(6050)] = 244773, + [SMALL_STATE(6051)] = 244781, + [SMALL_STATE(6052)] = 244789, + [SMALL_STATE(6053)] = 244797, + [SMALL_STATE(6054)] = 244805, + [SMALL_STATE(6055)] = 244813, + [SMALL_STATE(6056)] = 244821, + [SMALL_STATE(6057)] = 244829, + [SMALL_STATE(6058)] = 244837, + [SMALL_STATE(6059)] = 244845, + [SMALL_STATE(6060)] = 244853, + [SMALL_STATE(6061)] = 244861, + [SMALL_STATE(6062)] = 244869, + [SMALL_STATE(6063)] = 244877, + [SMALL_STATE(6064)] = 244885, + [SMALL_STATE(6065)] = 244893, + [SMALL_STATE(6066)] = 244901, + [SMALL_STATE(6067)] = 244909, + [SMALL_STATE(6068)] = 244917, + [SMALL_STATE(6069)] = 244925, + [SMALL_STATE(6070)] = 244933, + [SMALL_STATE(6071)] = 244941, + [SMALL_STATE(6072)] = 244949, + [SMALL_STATE(6073)] = 244957, + [SMALL_STATE(6074)] = 244965, + [SMALL_STATE(6075)] = 244973, + [SMALL_STATE(6076)] = 244981, + [SMALL_STATE(6077)] = 244989, + [SMALL_STATE(6078)] = 244997, + [SMALL_STATE(6079)] = 245005, + [SMALL_STATE(6080)] = 245013, + [SMALL_STATE(6081)] = 245021, + [SMALL_STATE(6082)] = 245029, + [SMALL_STATE(6083)] = 245037, + [SMALL_STATE(6084)] = 245045, + [SMALL_STATE(6085)] = 245053, + [SMALL_STATE(6086)] = 245061, + [SMALL_STATE(6087)] = 245069, + [SMALL_STATE(6088)] = 245077, + [SMALL_STATE(6089)] = 245085, + [SMALL_STATE(6090)] = 245093, + [SMALL_STATE(6091)] = 245101, + [SMALL_STATE(6092)] = 245109, + [SMALL_STATE(6093)] = 245117, + [SMALL_STATE(6094)] = 245125, + [SMALL_STATE(6095)] = 245133, + [SMALL_STATE(6096)] = 245141, + [SMALL_STATE(6097)] = 245149, + [SMALL_STATE(6098)] = 245157, + [SMALL_STATE(6099)] = 245165, + [SMALL_STATE(6100)] = 245173, + [SMALL_STATE(6101)] = 245181, + [SMALL_STATE(6102)] = 245189, + [SMALL_STATE(6103)] = 245197, + [SMALL_STATE(6104)] = 245205, + [SMALL_STATE(6105)] = 245213, + [SMALL_STATE(6106)] = 245221, + [SMALL_STATE(6107)] = 245229, + [SMALL_STATE(6108)] = 245237, + [SMALL_STATE(6109)] = 245245, + [SMALL_STATE(6110)] = 245253, + [SMALL_STATE(6111)] = 245261, + [SMALL_STATE(6112)] = 245269, + [SMALL_STATE(6113)] = 245277, + [SMALL_STATE(6114)] = 245285, + [SMALL_STATE(6115)] = 245293, + [SMALL_STATE(6116)] = 245301, + [SMALL_STATE(6117)] = 245309, + [SMALL_STATE(6118)] = 245317, + [SMALL_STATE(6119)] = 245325, + [SMALL_STATE(6120)] = 245333, + [SMALL_STATE(6121)] = 245341, + [SMALL_STATE(6122)] = 245349, + [SMALL_STATE(6123)] = 245357, + [SMALL_STATE(6124)] = 245365, + [SMALL_STATE(6125)] = 245373, + [SMALL_STATE(6126)] = 245381, + [SMALL_STATE(6127)] = 245389, + [SMALL_STATE(6128)] = 245397, + [SMALL_STATE(6129)] = 245405, + [SMALL_STATE(6130)] = 245413, + [SMALL_STATE(6131)] = 245421, + [SMALL_STATE(6132)] = 245429, + [SMALL_STATE(6133)] = 245437, + [SMALL_STATE(6134)] = 245445, + [SMALL_STATE(6135)] = 245453, + [SMALL_STATE(6136)] = 245461, + [SMALL_STATE(6137)] = 245469, + [SMALL_STATE(6138)] = 245477, + [SMALL_STATE(6139)] = 245485, + [SMALL_STATE(6140)] = 245493, + [SMALL_STATE(6141)] = 245501, + [SMALL_STATE(6142)] = 245509, + [SMALL_STATE(6143)] = 245517, + [SMALL_STATE(6144)] = 245525, + [SMALL_STATE(6145)] = 245533, + [SMALL_STATE(6146)] = 245541, + [SMALL_STATE(6147)] = 245549, + [SMALL_STATE(6148)] = 245557, + [SMALL_STATE(6149)] = 245565, + [SMALL_STATE(6150)] = 245573, + [SMALL_STATE(6151)] = 245581, + [SMALL_STATE(6152)] = 245589, + [SMALL_STATE(6153)] = 245597, + [SMALL_STATE(6154)] = 245605, + [SMALL_STATE(6155)] = 245613, + [SMALL_STATE(6156)] = 245621, + [SMALL_STATE(6157)] = 245629, + [SMALL_STATE(6158)] = 245637, + [SMALL_STATE(6159)] = 245645, + [SMALL_STATE(6160)] = 245653, + [SMALL_STATE(6161)] = 245661, + [SMALL_STATE(6162)] = 245669, + [SMALL_STATE(6163)] = 245677, + [SMALL_STATE(6164)] = 245685, + [SMALL_STATE(6165)] = 245693, + [SMALL_STATE(6166)] = 245701, + [SMALL_STATE(6167)] = 245709, + [SMALL_STATE(6168)] = 245717, + [SMALL_STATE(6169)] = 245725, + [SMALL_STATE(6170)] = 245733, + [SMALL_STATE(6171)] = 245741, + [SMALL_STATE(6172)] = 245749, + [SMALL_STATE(6173)] = 245757, + [SMALL_STATE(6174)] = 245765, + [SMALL_STATE(6175)] = 245773, + [SMALL_STATE(6176)] = 245781, + [SMALL_STATE(6177)] = 245789, + [SMALL_STATE(6178)] = 245797, + [SMALL_STATE(6179)] = 245805, + [SMALL_STATE(6180)] = 245813, + [SMALL_STATE(6181)] = 245821, + [SMALL_STATE(6182)] = 245829, + [SMALL_STATE(6183)] = 245837, + [SMALL_STATE(6184)] = 245845, + [SMALL_STATE(6185)] = 245853, + [SMALL_STATE(6186)] = 245861, + [SMALL_STATE(6187)] = 245869, + [SMALL_STATE(6188)] = 245877, + [SMALL_STATE(6189)] = 245885, + [SMALL_STATE(6190)] = 245893, + [SMALL_STATE(6191)] = 245901, + [SMALL_STATE(6192)] = 245909, + [SMALL_STATE(6193)] = 245917, + [SMALL_STATE(6194)] = 245925, + [SMALL_STATE(6195)] = 245933, + [SMALL_STATE(6196)] = 245941, + [SMALL_STATE(6197)] = 245949, + [SMALL_STATE(6198)] = 245957, + [SMALL_STATE(6199)] = 245965, + [SMALL_STATE(6200)] = 245973, + [SMALL_STATE(6201)] = 245981, + [SMALL_STATE(6202)] = 245989, + [SMALL_STATE(6203)] = 245997, + [SMALL_STATE(6204)] = 246005, + [SMALL_STATE(6205)] = 246013, + [SMALL_STATE(6206)] = 246021, + [SMALL_STATE(6207)] = 246029, + [SMALL_STATE(6208)] = 246037, + [SMALL_STATE(6209)] = 246045, + [SMALL_STATE(6210)] = 246053, + [SMALL_STATE(6211)] = 246061, + [SMALL_STATE(6212)] = 246069, + [SMALL_STATE(6213)] = 246077, + [SMALL_STATE(6214)] = 246085, + [SMALL_STATE(6215)] = 246093, + [SMALL_STATE(6216)] = 246101, + [SMALL_STATE(6217)] = 246109, + [SMALL_STATE(6218)] = 246117, + [SMALL_STATE(6219)] = 246125, + [SMALL_STATE(6220)] = 246133, + [SMALL_STATE(6221)] = 246141, + [SMALL_STATE(6222)] = 246149, + [SMALL_STATE(6223)] = 246157, + [SMALL_STATE(6224)] = 246165, + [SMALL_STATE(6225)] = 246173, + [SMALL_STATE(6226)] = 246181, + [SMALL_STATE(6227)] = 246189, + [SMALL_STATE(6228)] = 246197, + [SMALL_STATE(6229)] = 246205, + [SMALL_STATE(6230)] = 246213, + [SMALL_STATE(6231)] = 246221, + [SMALL_STATE(6232)] = 246229, + [SMALL_STATE(6233)] = 246237, + [SMALL_STATE(6234)] = 246245, + [SMALL_STATE(6235)] = 246253, + [SMALL_STATE(6236)] = 246261, + [SMALL_STATE(6237)] = 246269, + [SMALL_STATE(6238)] = 246277, + [SMALL_STATE(6239)] = 246285, + [SMALL_STATE(6240)] = 246293, + [SMALL_STATE(6241)] = 246301, + [SMALL_STATE(6242)] = 246309, + [SMALL_STATE(6243)] = 246317, + [SMALL_STATE(6244)] = 246325, + [SMALL_STATE(6245)] = 246333, + [SMALL_STATE(6246)] = 246341, + [SMALL_STATE(6247)] = 246349, + [SMALL_STATE(6248)] = 246357, + [SMALL_STATE(6249)] = 246365, + [SMALL_STATE(6250)] = 246373, + [SMALL_STATE(6251)] = 246381, + [SMALL_STATE(6252)] = 246389, + [SMALL_STATE(6253)] = 246397, + [SMALL_STATE(6254)] = 246405, + [SMALL_STATE(6255)] = 246413, + [SMALL_STATE(6256)] = 246421, + [SMALL_STATE(6257)] = 246429, + [SMALL_STATE(6258)] = 246437, + [SMALL_STATE(6259)] = 246445, + [SMALL_STATE(6260)] = 246453, + [SMALL_STATE(6261)] = 246461, + [SMALL_STATE(6262)] = 246469, + [SMALL_STATE(6263)] = 246477, + [SMALL_STATE(6264)] = 246485, + [SMALL_STATE(6265)] = 246493, + [SMALL_STATE(6266)] = 246501, + [SMALL_STATE(6267)] = 246509, + [SMALL_STATE(6268)] = 246517, + [SMALL_STATE(6269)] = 246525, + [SMALL_STATE(6270)] = 246533, + [SMALL_STATE(6271)] = 246541, + [SMALL_STATE(6272)] = 246549, + [SMALL_STATE(6273)] = 246557, + [SMALL_STATE(6274)] = 246565, + [SMALL_STATE(6275)] = 246573, + [SMALL_STATE(6276)] = 246581, + [SMALL_STATE(6277)] = 246589, + [SMALL_STATE(6278)] = 246597, + [SMALL_STATE(6279)] = 246605, + [SMALL_STATE(6280)] = 246613, + [SMALL_STATE(6281)] = 246621, + [SMALL_STATE(6282)] = 246629, + [SMALL_STATE(6283)] = 246637, + [SMALL_STATE(6284)] = 246645, + [SMALL_STATE(6285)] = 246653, + [SMALL_STATE(6286)] = 246661, + [SMALL_STATE(6287)] = 246669, + [SMALL_STATE(6288)] = 246677, + [SMALL_STATE(6289)] = 246685, + [SMALL_STATE(6290)] = 246693, + [SMALL_STATE(6291)] = 246701, + [SMALL_STATE(6292)] = 246709, + [SMALL_STATE(6293)] = 246717, + [SMALL_STATE(6294)] = 246725, + [SMALL_STATE(6295)] = 246733, + [SMALL_STATE(6296)] = 246741, + [SMALL_STATE(6297)] = 246749, + [SMALL_STATE(6298)] = 246757, + [SMALL_STATE(6299)] = 246765, + [SMALL_STATE(6300)] = 246773, + [SMALL_STATE(6301)] = 246781, + [SMALL_STATE(6302)] = 246789, + [SMALL_STATE(6303)] = 246797, + [SMALL_STATE(6304)] = 246805, + [SMALL_STATE(6305)] = 246813, + [SMALL_STATE(6306)] = 246821, + [SMALL_STATE(6307)] = 246829, + [SMALL_STATE(6308)] = 246837, + [SMALL_STATE(6309)] = 246845, + [SMALL_STATE(6310)] = 246853, + [SMALL_STATE(6311)] = 246861, + [SMALL_STATE(6312)] = 246869, + [SMALL_STATE(6313)] = 246877, + [SMALL_STATE(6314)] = 246885, + [SMALL_STATE(6315)] = 246893, + [SMALL_STATE(6316)] = 246901, + [SMALL_STATE(6317)] = 246909, + [SMALL_STATE(6318)] = 246917, + [SMALL_STATE(6319)] = 246925, + [SMALL_STATE(6320)] = 246933, + [SMALL_STATE(6321)] = 246941, + [SMALL_STATE(6322)] = 246949, + [SMALL_STATE(6323)] = 246957, + [SMALL_STATE(6324)] = 246965, + [SMALL_STATE(6325)] = 246973, + [SMALL_STATE(6326)] = 246981, + [SMALL_STATE(6327)] = 246989, + [SMALL_STATE(6328)] = 246997, + [SMALL_STATE(6329)] = 247005, + [SMALL_STATE(6330)] = 247013, + [SMALL_STATE(6331)] = 247021, + [SMALL_STATE(6332)] = 247029, + [SMALL_STATE(6333)] = 247037, + [SMALL_STATE(6334)] = 247045, + [SMALL_STATE(6335)] = 247053, + [SMALL_STATE(6336)] = 247061, + [SMALL_STATE(6337)] = 247069, + [SMALL_STATE(6338)] = 247077, + [SMALL_STATE(6339)] = 247085, + [SMALL_STATE(6340)] = 247093, + [SMALL_STATE(6341)] = 247101, + [SMALL_STATE(6342)] = 247109, + [SMALL_STATE(6343)] = 247117, + [SMALL_STATE(6344)] = 247125, + [SMALL_STATE(6345)] = 247133, + [SMALL_STATE(6346)] = 247141, + [SMALL_STATE(6347)] = 247149, + [SMALL_STATE(6348)] = 247157, + [SMALL_STATE(6349)] = 247165, + [SMALL_STATE(6350)] = 247173, + [SMALL_STATE(6351)] = 247181, + [SMALL_STATE(6352)] = 247189, + [SMALL_STATE(6353)] = 247197, + [SMALL_STATE(6354)] = 247205, + [SMALL_STATE(6355)] = 247213, + [SMALL_STATE(6356)] = 247221, + [SMALL_STATE(6357)] = 247229, + [SMALL_STATE(6358)] = 247237, + [SMALL_STATE(6359)] = 247245, + [SMALL_STATE(6360)] = 247253, + [SMALL_STATE(6361)] = 247261, + [SMALL_STATE(6362)] = 247269, + [SMALL_STATE(6363)] = 247277, + [SMALL_STATE(6364)] = 247285, + [SMALL_STATE(6365)] = 247293, + [SMALL_STATE(6366)] = 247301, + [SMALL_STATE(6367)] = 247309, + [SMALL_STATE(6368)] = 247317, + [SMALL_STATE(6369)] = 247325, + [SMALL_STATE(6370)] = 247333, + [SMALL_STATE(6371)] = 247341, + [SMALL_STATE(6372)] = 247349, + [SMALL_STATE(6373)] = 247357, + [SMALL_STATE(6374)] = 247365, + [SMALL_STATE(6375)] = 247373, + [SMALL_STATE(6376)] = 247381, + [SMALL_STATE(6377)] = 247389, + [SMALL_STATE(6378)] = 247397, + [SMALL_STATE(6379)] = 247405, + [SMALL_STATE(6380)] = 247413, + [SMALL_STATE(6381)] = 247421, + [SMALL_STATE(6382)] = 247429, + [SMALL_STATE(6383)] = 247437, + [SMALL_STATE(6384)] = 247445, + [SMALL_STATE(6385)] = 247453, + [SMALL_STATE(6386)] = 247461, + [SMALL_STATE(6387)] = 247469, + [SMALL_STATE(6388)] = 247477, + [SMALL_STATE(6389)] = 247485, + [SMALL_STATE(6390)] = 247493, + [SMALL_STATE(6391)] = 247501, + [SMALL_STATE(6392)] = 247509, + [SMALL_STATE(6393)] = 247517, + [SMALL_STATE(6394)] = 247525, + [SMALL_STATE(6395)] = 247533, + [SMALL_STATE(6396)] = 247541, + [SMALL_STATE(6397)] = 247549, + [SMALL_STATE(6398)] = 247557, + [SMALL_STATE(6399)] = 247565, + [SMALL_STATE(6400)] = 247573, + [SMALL_STATE(6401)] = 247581, + [SMALL_STATE(6402)] = 247589, + [SMALL_STATE(6403)] = 247597, + [SMALL_STATE(6404)] = 247605, + [SMALL_STATE(6405)] = 247613, + [SMALL_STATE(6406)] = 247621, + [SMALL_STATE(6407)] = 247629, + [SMALL_STATE(6408)] = 247637, + [SMALL_STATE(6409)] = 247645, + [SMALL_STATE(6410)] = 247653, + [SMALL_STATE(6411)] = 247661, + [SMALL_STATE(6412)] = 247669, + [SMALL_STATE(6413)] = 247677, + [SMALL_STATE(6414)] = 247685, + [SMALL_STATE(6415)] = 247693, + [SMALL_STATE(6416)] = 247701, + [SMALL_STATE(6417)] = 247709, + [SMALL_STATE(6418)] = 247717, + [SMALL_STATE(6419)] = 247725, + [SMALL_STATE(6420)] = 247733, + [SMALL_STATE(6421)] = 247741, + [SMALL_STATE(6422)] = 247749, + [SMALL_STATE(6423)] = 247757, + [SMALL_STATE(6424)] = 247765, + [SMALL_STATE(6425)] = 247773, + [SMALL_STATE(6426)] = 247781, + [SMALL_STATE(6427)] = 247789, + [SMALL_STATE(6428)] = 247797, + [SMALL_STATE(6429)] = 247805, + [SMALL_STATE(6430)] = 247813, + [SMALL_STATE(6431)] = 247821, + [SMALL_STATE(6432)] = 247829, + [SMALL_STATE(6433)] = 247837, + [SMALL_STATE(6434)] = 247845, + [SMALL_STATE(6435)] = 247853, + [SMALL_STATE(6436)] = 247861, + [SMALL_STATE(6437)] = 247869, + [SMALL_STATE(6438)] = 247877, + [SMALL_STATE(6439)] = 247885, + [SMALL_STATE(6440)] = 247893, + [SMALL_STATE(6441)] = 247901, + [SMALL_STATE(6442)] = 247909, + [SMALL_STATE(6443)] = 247917, + [SMALL_STATE(6444)] = 247925, + [SMALL_STATE(6445)] = 247933, + [SMALL_STATE(6446)] = 247941, + [SMALL_STATE(6447)] = 247949, + [SMALL_STATE(6448)] = 247957, + [SMALL_STATE(6449)] = 247965, + [SMALL_STATE(6450)] = 247973, + [SMALL_STATE(6451)] = 247981, + [SMALL_STATE(6452)] = 247989, + [SMALL_STATE(6453)] = 247997, + [SMALL_STATE(6454)] = 248005, + [SMALL_STATE(6455)] = 248013, + [SMALL_STATE(6456)] = 248021, + [SMALL_STATE(6457)] = 248029, + [SMALL_STATE(6458)] = 248037, + [SMALL_STATE(6459)] = 248045, + [SMALL_STATE(6460)] = 248053, + [SMALL_STATE(6461)] = 248061, + [SMALL_STATE(6462)] = 248069, + [SMALL_STATE(6463)] = 248077, + [SMALL_STATE(6464)] = 248085, + [SMALL_STATE(6465)] = 248093, + [SMALL_STATE(6466)] = 248101, + [SMALL_STATE(6467)] = 248109, + [SMALL_STATE(6468)] = 248117, + [SMALL_STATE(6469)] = 248125, + [SMALL_STATE(6470)] = 248133, + [SMALL_STATE(6471)] = 248141, + [SMALL_STATE(6472)] = 248149, + [SMALL_STATE(6473)] = 248157, + [SMALL_STATE(6474)] = 248165, + [SMALL_STATE(6475)] = 248173, + [SMALL_STATE(6476)] = 248181, + [SMALL_STATE(6477)] = 248189, + [SMALL_STATE(6478)] = 248197, + [SMALL_STATE(6479)] = 248205, + [SMALL_STATE(6480)] = 248213, + [SMALL_STATE(6481)] = 248221, + [SMALL_STATE(6482)] = 248229, + [SMALL_STATE(6483)] = 248237, + [SMALL_STATE(6484)] = 248245, + [SMALL_STATE(6485)] = 248253, + [SMALL_STATE(6486)] = 248261, + [SMALL_STATE(6487)] = 248269, + [SMALL_STATE(6488)] = 248277, + [SMALL_STATE(6489)] = 248285, + [SMALL_STATE(6490)] = 248293, + [SMALL_STATE(6491)] = 248301, + [SMALL_STATE(6492)] = 248309, + [SMALL_STATE(6493)] = 248317, + [SMALL_STATE(6494)] = 248325, + [SMALL_STATE(6495)] = 248333, + [SMALL_STATE(6496)] = 248341, + [SMALL_STATE(6497)] = 248349, + [SMALL_STATE(6498)] = 248357, + [SMALL_STATE(6499)] = 248365, + [SMALL_STATE(6500)] = 248373, + [SMALL_STATE(6501)] = 248381, + [SMALL_STATE(6502)] = 248389, + [SMALL_STATE(6503)] = 248397, + [SMALL_STATE(6504)] = 248405, + [SMALL_STATE(6505)] = 248413, + [SMALL_STATE(6506)] = 248421, + [SMALL_STATE(6507)] = 248429, + [SMALL_STATE(6508)] = 248437, + [SMALL_STATE(6509)] = 248445, + [SMALL_STATE(6510)] = 248453, + [SMALL_STATE(6511)] = 248461, + [SMALL_STATE(6512)] = 248469, + [SMALL_STATE(6513)] = 248477, + [SMALL_STATE(6514)] = 248485, + [SMALL_STATE(6515)] = 248493, + [SMALL_STATE(6516)] = 248501, + [SMALL_STATE(6517)] = 248509, + [SMALL_STATE(6518)] = 248517, + [SMALL_STATE(6519)] = 248525, + [SMALL_STATE(6520)] = 248533, + [SMALL_STATE(6521)] = 248541, + [SMALL_STATE(6522)] = 248549, + [SMALL_STATE(6523)] = 248557, + [SMALL_STATE(6524)] = 248565, + [SMALL_STATE(6525)] = 248573, + [SMALL_STATE(6526)] = 248581, + [SMALL_STATE(6527)] = 248589, + [SMALL_STATE(6528)] = 248597, + [SMALL_STATE(6529)] = 248605, + [SMALL_STATE(6530)] = 248613, + [SMALL_STATE(6531)] = 248621, + [SMALL_STATE(6532)] = 248629, + [SMALL_STATE(6533)] = 248637, + [SMALL_STATE(6534)] = 248645, + [SMALL_STATE(6535)] = 248653, + [SMALL_STATE(6536)] = 248661, + [SMALL_STATE(6537)] = 248669, + [SMALL_STATE(6538)] = 248677, + [SMALL_STATE(6539)] = 248685, + [SMALL_STATE(6540)] = 248693, + [SMALL_STATE(6541)] = 248701, + [SMALL_STATE(6542)] = 248709, + [SMALL_STATE(6543)] = 248717, + [SMALL_STATE(6544)] = 248725, + [SMALL_STATE(6545)] = 248733, + [SMALL_STATE(6546)] = 248741, + [SMALL_STATE(6547)] = 248749, + [SMALL_STATE(6548)] = 248757, + [SMALL_STATE(6549)] = 248765, + [SMALL_STATE(6550)] = 248773, + [SMALL_STATE(6551)] = 248781, + [SMALL_STATE(6552)] = 248789, + [SMALL_STATE(6553)] = 248797, + [SMALL_STATE(6554)] = 248805, + [SMALL_STATE(6555)] = 248813, + [SMALL_STATE(6556)] = 248821, + [SMALL_STATE(6557)] = 248829, + [SMALL_STATE(6558)] = 248837, + [SMALL_STATE(6559)] = 248845, + [SMALL_STATE(6560)] = 248853, + [SMALL_STATE(6561)] = 248861, + [SMALL_STATE(6562)] = 248869, + [SMALL_STATE(6563)] = 248877, + [SMALL_STATE(6564)] = 248885, + [SMALL_STATE(6565)] = 248893, + [SMALL_STATE(6566)] = 248901, + [SMALL_STATE(6567)] = 248909, + [SMALL_STATE(6568)] = 248917, + [SMALL_STATE(6569)] = 248925, + [SMALL_STATE(6570)] = 248933, + [SMALL_STATE(6571)] = 248941, + [SMALL_STATE(6572)] = 248949, + [SMALL_STATE(6573)] = 248957, + [SMALL_STATE(6574)] = 248965, + [SMALL_STATE(6575)] = 248973, + [SMALL_STATE(6576)] = 248981, + [SMALL_STATE(6577)] = 248989, + [SMALL_STATE(6578)] = 248997, + [SMALL_STATE(6579)] = 249005, + [SMALL_STATE(6580)] = 249013, + [SMALL_STATE(6581)] = 249021, + [SMALL_STATE(6582)] = 249029, + [SMALL_STATE(6583)] = 249037, + [SMALL_STATE(6584)] = 249045, + [SMALL_STATE(6585)] = 249053, + [SMALL_STATE(6586)] = 249061, + [SMALL_STATE(6587)] = 249069, + [SMALL_STATE(6588)] = 249077, + [SMALL_STATE(6589)] = 249085, + [SMALL_STATE(6590)] = 249093, + [SMALL_STATE(6591)] = 249101, + [SMALL_STATE(6592)] = 249109, + [SMALL_STATE(6593)] = 249117, + [SMALL_STATE(6594)] = 249125, + [SMALL_STATE(6595)] = 249133, + [SMALL_STATE(6596)] = 249141, + [SMALL_STATE(6597)] = 249149, + [SMALL_STATE(6598)] = 249157, + [SMALL_STATE(6599)] = 249165, + [SMALL_STATE(6600)] = 249173, + [SMALL_STATE(6601)] = 249181, + [SMALL_STATE(6602)] = 249189, + [SMALL_STATE(6603)] = 249197, + [SMALL_STATE(6604)] = 249205, + [SMALL_STATE(6605)] = 249213, + [SMALL_STATE(6606)] = 249221, + [SMALL_STATE(6607)] = 249229, + [SMALL_STATE(6608)] = 249237, + [SMALL_STATE(6609)] = 249245, + [SMALL_STATE(6610)] = 249253, + [SMALL_STATE(6611)] = 249261, + [SMALL_STATE(6612)] = 249269, + [SMALL_STATE(6613)] = 249277, + [SMALL_STATE(6614)] = 249285, + [SMALL_STATE(6615)] = 249293, + [SMALL_STATE(6616)] = 249301, + [SMALL_STATE(6617)] = 249309, + [SMALL_STATE(6618)] = 249317, + [SMALL_STATE(6619)] = 249325, + [SMALL_STATE(6620)] = 249333, + [SMALL_STATE(6621)] = 249341, + [SMALL_STATE(6622)] = 249349, + [SMALL_STATE(6623)] = 249357, + [SMALL_STATE(6624)] = 249365, + [SMALL_STATE(6625)] = 249373, + [SMALL_STATE(6626)] = 249381, + [SMALL_STATE(6627)] = 249389, + [SMALL_STATE(6628)] = 249397, + [SMALL_STATE(6629)] = 249405, + [SMALL_STATE(6630)] = 249413, + [SMALL_STATE(6631)] = 249421, + [SMALL_STATE(6632)] = 249429, + [SMALL_STATE(6633)] = 249437, + [SMALL_STATE(6634)] = 249445, + [SMALL_STATE(6635)] = 249453, + [SMALL_STATE(6636)] = 249461, + [SMALL_STATE(6637)] = 249469, + [SMALL_STATE(6638)] = 249477, + [SMALL_STATE(6639)] = 249485, + [SMALL_STATE(6640)] = 249493, + [SMALL_STATE(6641)] = 249501, + [SMALL_STATE(6642)] = 249509, + [SMALL_STATE(6643)] = 249517, + [SMALL_STATE(6644)] = 249525, + [SMALL_STATE(6645)] = 249533, + [SMALL_STATE(6646)] = 249541, + [SMALL_STATE(6647)] = 249549, + [SMALL_STATE(6648)] = 249557, + [SMALL_STATE(6649)] = 249565, + [SMALL_STATE(6650)] = 249573, + [SMALL_STATE(6651)] = 249581, + [SMALL_STATE(6652)] = 249589, + [SMALL_STATE(6653)] = 249597, + [SMALL_STATE(6654)] = 249605, + [SMALL_STATE(6655)] = 249613, + [SMALL_STATE(6656)] = 249621, + [SMALL_STATE(6657)] = 249629, + [SMALL_STATE(6658)] = 249637, + [SMALL_STATE(6659)] = 249645, + [SMALL_STATE(6660)] = 249653, + [SMALL_STATE(6661)] = 249661, + [SMALL_STATE(6662)] = 249669, + [SMALL_STATE(6663)] = 249677, + [SMALL_STATE(6664)] = 249685, + [SMALL_STATE(6665)] = 249693, + [SMALL_STATE(6666)] = 249701, + [SMALL_STATE(6667)] = 249709, + [SMALL_STATE(6668)] = 249717, + [SMALL_STATE(6669)] = 249725, + [SMALL_STATE(6670)] = 249733, + [SMALL_STATE(6671)] = 249741, + [SMALL_STATE(6672)] = 249749, + [SMALL_STATE(6673)] = 249757, + [SMALL_STATE(6674)] = 249765, + [SMALL_STATE(6675)] = 249773, + [SMALL_STATE(6676)] = 249781, + [SMALL_STATE(6677)] = 249789, + [SMALL_STATE(6678)] = 249797, + [SMALL_STATE(6679)] = 249805, + [SMALL_STATE(6680)] = 249813, + [SMALL_STATE(6681)] = 249821, + [SMALL_STATE(6682)] = 249829, + [SMALL_STATE(6683)] = 249837, + [SMALL_STATE(6684)] = 249845, + [SMALL_STATE(6685)] = 249853, + [SMALL_STATE(6686)] = 249861, + [SMALL_STATE(6687)] = 249869, + [SMALL_STATE(6688)] = 249877, + [SMALL_STATE(6689)] = 249885, + [SMALL_STATE(6690)] = 249893, + [SMALL_STATE(6691)] = 249901, + [SMALL_STATE(6692)] = 249909, + [SMALL_STATE(6693)] = 249917, + [SMALL_STATE(6694)] = 249925, + [SMALL_STATE(6695)] = 249933, + [SMALL_STATE(6696)] = 249941, + [SMALL_STATE(6697)] = 249949, + [SMALL_STATE(6698)] = 249957, + [SMALL_STATE(6699)] = 249965, + [SMALL_STATE(6700)] = 249973, + [SMALL_STATE(6701)] = 249981, + [SMALL_STATE(6702)] = 249989, + [SMALL_STATE(6703)] = 249997, + [SMALL_STATE(6704)] = 250005, + [SMALL_STATE(6705)] = 250013, + [SMALL_STATE(6706)] = 250021, + [SMALL_STATE(6707)] = 250029, + [SMALL_STATE(6708)] = 250037, + [SMALL_STATE(6709)] = 250045, + [SMALL_STATE(6710)] = 250053, + [SMALL_STATE(6711)] = 250061, + [SMALL_STATE(6712)] = 250069, + [SMALL_STATE(6713)] = 250077, + [SMALL_STATE(6714)] = 250085, + [SMALL_STATE(6715)] = 250093, + [SMALL_STATE(6716)] = 250101, + [SMALL_STATE(6717)] = 250109, + [SMALL_STATE(6718)] = 250117, + [SMALL_STATE(6719)] = 250125, + [SMALL_STATE(6720)] = 250133, + [SMALL_STATE(6721)] = 250141, + [SMALL_STATE(6722)] = 250149, + [SMALL_STATE(6723)] = 250157, + [SMALL_STATE(6724)] = 250165, + [SMALL_STATE(6725)] = 250173, + [SMALL_STATE(6726)] = 250181, + [SMALL_STATE(6727)] = 250189, + [SMALL_STATE(6728)] = 250197, + [SMALL_STATE(6729)] = 250205, + [SMALL_STATE(6730)] = 250213, + [SMALL_STATE(6731)] = 250221, + [SMALL_STATE(6732)] = 250229, + [SMALL_STATE(6733)] = 250237, + [SMALL_STATE(6734)] = 250245, + [SMALL_STATE(6735)] = 250253, + [SMALL_STATE(6736)] = 250261, + [SMALL_STATE(6737)] = 250269, + [SMALL_STATE(6738)] = 250277, + [SMALL_STATE(6739)] = 250285, + [SMALL_STATE(6740)] = 250293, + [SMALL_STATE(6741)] = 250301, + [SMALL_STATE(6742)] = 250309, + [SMALL_STATE(6743)] = 250317, + [SMALL_STATE(6744)] = 250325, + [SMALL_STATE(6745)] = 250333, + [SMALL_STATE(6746)] = 250341, + [SMALL_STATE(6747)] = 250349, + [SMALL_STATE(6748)] = 250357, + [SMALL_STATE(6749)] = 250365, + [SMALL_STATE(6750)] = 250373, + [SMALL_STATE(6751)] = 250381, + [SMALL_STATE(6752)] = 250389, + [SMALL_STATE(6753)] = 250397, + [SMALL_STATE(6754)] = 250405, + [SMALL_STATE(6755)] = 250413, + [SMALL_STATE(6756)] = 250421, + [SMALL_STATE(6757)] = 250429, + [SMALL_STATE(6758)] = 250437, + [SMALL_STATE(6759)] = 250445, + [SMALL_STATE(6760)] = 250453, + [SMALL_STATE(6761)] = 250461, + [SMALL_STATE(6762)] = 250469, + [SMALL_STATE(6763)] = 250477, + [SMALL_STATE(6764)] = 250485, + [SMALL_STATE(6765)] = 250493, + [SMALL_STATE(6766)] = 250501, + [SMALL_STATE(6767)] = 250509, + [SMALL_STATE(6768)] = 250517, + [SMALL_STATE(6769)] = 250525, + [SMALL_STATE(6770)] = 250533, + [SMALL_STATE(6771)] = 250541, + [SMALL_STATE(6772)] = 250549, + [SMALL_STATE(6773)] = 250557, + [SMALL_STATE(6774)] = 250565, + [SMALL_STATE(6775)] = 250573, + [SMALL_STATE(6776)] = 250581, + [SMALL_STATE(6777)] = 250589, + [SMALL_STATE(6778)] = 250597, + [SMALL_STATE(6779)] = 250605, + [SMALL_STATE(6780)] = 250613, + [SMALL_STATE(6781)] = 250621, + [SMALL_STATE(6782)] = 250629, + [SMALL_STATE(6783)] = 250637, + [SMALL_STATE(6784)] = 250645, + [SMALL_STATE(6785)] = 250653, + [SMALL_STATE(6786)] = 250661, + [SMALL_STATE(6787)] = 250669, + [SMALL_STATE(6788)] = 250677, + [SMALL_STATE(6789)] = 250685, + [SMALL_STATE(6790)] = 250693, + [SMALL_STATE(6791)] = 250701, + [SMALL_STATE(6792)] = 250709, + [SMALL_STATE(6793)] = 250717, + [SMALL_STATE(6794)] = 250725, + [SMALL_STATE(6795)] = 250733, + [SMALL_STATE(6796)] = 250741, + [SMALL_STATE(6797)] = 250749, + [SMALL_STATE(6798)] = 250757, + [SMALL_STATE(6799)] = 250765, + [SMALL_STATE(6800)] = 250773, + [SMALL_STATE(6801)] = 250781, + [SMALL_STATE(6802)] = 250789, + [SMALL_STATE(6803)] = 250797, + [SMALL_STATE(6804)] = 250805, + [SMALL_STATE(6805)] = 250813, + [SMALL_STATE(6806)] = 250821, + [SMALL_STATE(6807)] = 250829, + [SMALL_STATE(6808)] = 250837, + [SMALL_STATE(6809)] = 250845, + [SMALL_STATE(6810)] = 250853, + [SMALL_STATE(6811)] = 250861, + [SMALL_STATE(6812)] = 250869, + [SMALL_STATE(6813)] = 250877, + [SMALL_STATE(6814)] = 250885, + [SMALL_STATE(6815)] = 250893, + [SMALL_STATE(6816)] = 250901, + [SMALL_STATE(6817)] = 250909, + [SMALL_STATE(6818)] = 250917, + [SMALL_STATE(6819)] = 250925, + [SMALL_STATE(6820)] = 250933, + [SMALL_STATE(6821)] = 250941, + [SMALL_STATE(6822)] = 250949, + [SMALL_STATE(6823)] = 250957, + [SMALL_STATE(6824)] = 250965, + [SMALL_STATE(6825)] = 250973, + [SMALL_STATE(6826)] = 250981, + [SMALL_STATE(6827)] = 250989, + [SMALL_STATE(6828)] = 250997, + [SMALL_STATE(6829)] = 251005, + [SMALL_STATE(6830)] = 251013, + [SMALL_STATE(6831)] = 251021, + [SMALL_STATE(6832)] = 251029, + [SMALL_STATE(6833)] = 251037, + [SMALL_STATE(6834)] = 251045, + [SMALL_STATE(6835)] = 251053, + [SMALL_STATE(6836)] = 251061, + [SMALL_STATE(6837)] = 251069, + [SMALL_STATE(6838)] = 251077, + [SMALL_STATE(6839)] = 251085, + [SMALL_STATE(6840)] = 251093, + [SMALL_STATE(6841)] = 251101, + [SMALL_STATE(6842)] = 251109, + [SMALL_STATE(6843)] = 251117, + [SMALL_STATE(6844)] = 251125, + [SMALL_STATE(6845)] = 251133, + [SMALL_STATE(6846)] = 251141, + [SMALL_STATE(6847)] = 251149, + [SMALL_STATE(6848)] = 251157, + [SMALL_STATE(6849)] = 251165, + [SMALL_STATE(6850)] = 251173, + [SMALL_STATE(6851)] = 251181, + [SMALL_STATE(6852)] = 251189, + [SMALL_STATE(6853)] = 251197, + [SMALL_STATE(6854)] = 251205, + [SMALL_STATE(6855)] = 251213, + [SMALL_STATE(6856)] = 251221, + [SMALL_STATE(6857)] = 251229, + [SMALL_STATE(6858)] = 251237, + [SMALL_STATE(6859)] = 251245, + [SMALL_STATE(6860)] = 251253, + [SMALL_STATE(6861)] = 251261, + [SMALL_STATE(6862)] = 251269, + [SMALL_STATE(6863)] = 251277, + [SMALL_STATE(6864)] = 251285, + [SMALL_STATE(6865)] = 251293, + [SMALL_STATE(6866)] = 251301, + [SMALL_STATE(6867)] = 251309, + [SMALL_STATE(6868)] = 251317, + [SMALL_STATE(6869)] = 251325, + [SMALL_STATE(6870)] = 251333, + [SMALL_STATE(6871)] = 251341, + [SMALL_STATE(6872)] = 251349, + [SMALL_STATE(6873)] = 251357, + [SMALL_STATE(6874)] = 251365, + [SMALL_STATE(6875)] = 251373, + [SMALL_STATE(6876)] = 251381, + [SMALL_STATE(6877)] = 251389, + [SMALL_STATE(6878)] = 251397, + [SMALL_STATE(6879)] = 251405, + [SMALL_STATE(6880)] = 251413, + [SMALL_STATE(6881)] = 251421, + [SMALL_STATE(6882)] = 251429, + [SMALL_STATE(6883)] = 251437, + [SMALL_STATE(6884)] = 251445, + [SMALL_STATE(6885)] = 251453, + [SMALL_STATE(6886)] = 251461, + [SMALL_STATE(6887)] = 251469, + [SMALL_STATE(6888)] = 251477, + [SMALL_STATE(6889)] = 251485, + [SMALL_STATE(6890)] = 251493, + [SMALL_STATE(6891)] = 251501, + [SMALL_STATE(6892)] = 251509, + [SMALL_STATE(6893)] = 251517, + [SMALL_STATE(6894)] = 251525, + [SMALL_STATE(6895)] = 251533, + [SMALL_STATE(6896)] = 251541, + [SMALL_STATE(6897)] = 251549, + [SMALL_STATE(6898)] = 251557, + [SMALL_STATE(6899)] = 251565, + [SMALL_STATE(6900)] = 251573, + [SMALL_STATE(6901)] = 251581, + [SMALL_STATE(6902)] = 251589, + [SMALL_STATE(6903)] = 251597, + [SMALL_STATE(6904)] = 251605, + [SMALL_STATE(6905)] = 251613, + [SMALL_STATE(6906)] = 251621, + [SMALL_STATE(6907)] = 251629, + [SMALL_STATE(6908)] = 251637, + [SMALL_STATE(6909)] = 251645, + [SMALL_STATE(6910)] = 251653, + [SMALL_STATE(6911)] = 251661, + [SMALL_STATE(6912)] = 251669, + [SMALL_STATE(6913)] = 251677, + [SMALL_STATE(6914)] = 251685, + [SMALL_STATE(6915)] = 251693, + [SMALL_STATE(6916)] = 251701, + [SMALL_STATE(6917)] = 251709, + [SMALL_STATE(6918)] = 251717, + [SMALL_STATE(6919)] = 251725, + [SMALL_STATE(6920)] = 251733, + [SMALL_STATE(6921)] = 251741, + [SMALL_STATE(6922)] = 251749, + [SMALL_STATE(6923)] = 251757, + [SMALL_STATE(6924)] = 251765, + [SMALL_STATE(6925)] = 251773, + [SMALL_STATE(6926)] = 251781, + [SMALL_STATE(6927)] = 251789, + [SMALL_STATE(6928)] = 251797, + [SMALL_STATE(6929)] = 251805, + [SMALL_STATE(6930)] = 251813, + [SMALL_STATE(6931)] = 251821, + [SMALL_STATE(6932)] = 251829, + [SMALL_STATE(6933)] = 251837, + [SMALL_STATE(6934)] = 251845, + [SMALL_STATE(6935)] = 251853, + [SMALL_STATE(6936)] = 251861, + [SMALL_STATE(6937)] = 251869, + [SMALL_STATE(6938)] = 251877, + [SMALL_STATE(6939)] = 251885, + [SMALL_STATE(6940)] = 251893, + [SMALL_STATE(6941)] = 251901, + [SMALL_STATE(6942)] = 251909, + [SMALL_STATE(6943)] = 251917, + [SMALL_STATE(6944)] = 251925, + [SMALL_STATE(6945)] = 251933, + [SMALL_STATE(6946)] = 251941, + [SMALL_STATE(6947)] = 251949, + [SMALL_STATE(6948)] = 251957, + [SMALL_STATE(6949)] = 251965, + [SMALL_STATE(6950)] = 251973, + [SMALL_STATE(6951)] = 251981, + [SMALL_STATE(6952)] = 251989, + [SMALL_STATE(6953)] = 251997, + [SMALL_STATE(6954)] = 252005, + [SMALL_STATE(6955)] = 252013, + [SMALL_STATE(6956)] = 252021, + [SMALL_STATE(6957)] = 252029, + [SMALL_STATE(6958)] = 252037, + [SMALL_STATE(6959)] = 252045, + [SMALL_STATE(6960)] = 252053, + [SMALL_STATE(6961)] = 252061, + [SMALL_STATE(6962)] = 252069, + [SMALL_STATE(6963)] = 252077, + [SMALL_STATE(6964)] = 252085, + [SMALL_STATE(6965)] = 252093, + [SMALL_STATE(6966)] = 252101, + [SMALL_STATE(6967)] = 252109, + [SMALL_STATE(6968)] = 252117, + [SMALL_STATE(6969)] = 252125, + [SMALL_STATE(6970)] = 252133, + [SMALL_STATE(6971)] = 252141, + [SMALL_STATE(6972)] = 252149, + [SMALL_STATE(6973)] = 252157, + [SMALL_STATE(6974)] = 252165, + [SMALL_STATE(6975)] = 252173, + [SMALL_STATE(6976)] = 252181, + [SMALL_STATE(6977)] = 252189, + [SMALL_STATE(6978)] = 252197, + [SMALL_STATE(6979)] = 252205, + [SMALL_STATE(6980)] = 252213, + [SMALL_STATE(6981)] = 252221, + [SMALL_STATE(6982)] = 252229, + [SMALL_STATE(6983)] = 252237, + [SMALL_STATE(6984)] = 252245, + [SMALL_STATE(6985)] = 252253, + [SMALL_STATE(6986)] = 252261, + [SMALL_STATE(6987)] = 252269, + [SMALL_STATE(6988)] = 252277, + [SMALL_STATE(6989)] = 252285, + [SMALL_STATE(6990)] = 252293, + [SMALL_STATE(6991)] = 252301, + [SMALL_STATE(6992)] = 252309, + [SMALL_STATE(6993)] = 252317, + [SMALL_STATE(6994)] = 252325, + [SMALL_STATE(6995)] = 252333, + [SMALL_STATE(6996)] = 252341, + [SMALL_STATE(6997)] = 252349, + [SMALL_STATE(6998)] = 252357, + [SMALL_STATE(6999)] = 252365, + [SMALL_STATE(7000)] = 252373, + [SMALL_STATE(7001)] = 252381, + [SMALL_STATE(7002)] = 252389, + [SMALL_STATE(7003)] = 252397, + [SMALL_STATE(7004)] = 252405, + [SMALL_STATE(7005)] = 252413, + [SMALL_STATE(7006)] = 252421, + [SMALL_STATE(7007)] = 252429, + [SMALL_STATE(7008)] = 252437, + [SMALL_STATE(7009)] = 252445, + [SMALL_STATE(7010)] = 252453, + [SMALL_STATE(7011)] = 252461, + [SMALL_STATE(7012)] = 252469, + [SMALL_STATE(7013)] = 252477, + [SMALL_STATE(7014)] = 252485, + [SMALL_STATE(7015)] = 252493, + [SMALL_STATE(7016)] = 252501, + [SMALL_STATE(7017)] = 252509, + [SMALL_STATE(7018)] = 252517, + [SMALL_STATE(7019)] = 252525, + [SMALL_STATE(7020)] = 252533, + [SMALL_STATE(7021)] = 252541, + [SMALL_STATE(7022)] = 252549, + [SMALL_STATE(7023)] = 252557, + [SMALL_STATE(7024)] = 252565, + [SMALL_STATE(7025)] = 252573, + [SMALL_STATE(7026)] = 252581, + [SMALL_STATE(7027)] = 252589, + [SMALL_STATE(7028)] = 252597, + [SMALL_STATE(7029)] = 252605, + [SMALL_STATE(7030)] = 252613, + [SMALL_STATE(7031)] = 252621, + [SMALL_STATE(7032)] = 252629, + [SMALL_STATE(7033)] = 252637, + [SMALL_STATE(7034)] = 252645, + [SMALL_STATE(7035)] = 252653, + [SMALL_STATE(7036)] = 252661, + [SMALL_STATE(7037)] = 252669, + [SMALL_STATE(7038)] = 252677, + [SMALL_STATE(7039)] = 252685, + [SMALL_STATE(7040)] = 252693, + [SMALL_STATE(7041)] = 252701, + [SMALL_STATE(7042)] = 252709, + [SMALL_STATE(7043)] = 252717, + [SMALL_STATE(7044)] = 252725, + [SMALL_STATE(7045)] = 252733, + [SMALL_STATE(7046)] = 252741, + [SMALL_STATE(7047)] = 252749, + [SMALL_STATE(7048)] = 252757, + [SMALL_STATE(7049)] = 252765, + [SMALL_STATE(7050)] = 252773, + [SMALL_STATE(7051)] = 252781, + [SMALL_STATE(7052)] = 252789, + [SMALL_STATE(7053)] = 252797, + [SMALL_STATE(7054)] = 252805, + [SMALL_STATE(7055)] = 252813, + [SMALL_STATE(7056)] = 252821, + [SMALL_STATE(7057)] = 252829, + [SMALL_STATE(7058)] = 252837, + [SMALL_STATE(7059)] = 252845, + [SMALL_STATE(7060)] = 252853, + [SMALL_STATE(7061)] = 252861, + [SMALL_STATE(7062)] = 252869, + [SMALL_STATE(7063)] = 252877, + [SMALL_STATE(7064)] = 252885, + [SMALL_STATE(7065)] = 252893, + [SMALL_STATE(7066)] = 252901, + [SMALL_STATE(7067)] = 252909, + [SMALL_STATE(7068)] = 252917, + [SMALL_STATE(7069)] = 252925, + [SMALL_STATE(7070)] = 252933, + [SMALL_STATE(7071)] = 252941, + [SMALL_STATE(7072)] = 252949, + [SMALL_STATE(7073)] = 252957, + [SMALL_STATE(7074)] = 252965, + [SMALL_STATE(7075)] = 252973, + [SMALL_STATE(7076)] = 252981, + [SMALL_STATE(7077)] = 252989, + [SMALL_STATE(7078)] = 252997, + [SMALL_STATE(7079)] = 253005, + [SMALL_STATE(7080)] = 253013, + [SMALL_STATE(7081)] = 253021, + [SMALL_STATE(7082)] = 253029, + [SMALL_STATE(7083)] = 253037, + [SMALL_STATE(7084)] = 253045, + [SMALL_STATE(7085)] = 253053, + [SMALL_STATE(7086)] = 253061, + [SMALL_STATE(7087)] = 253069, + [SMALL_STATE(7088)] = 253077, + [SMALL_STATE(7089)] = 253085, + [SMALL_STATE(7090)] = 253093, + [SMALL_STATE(7091)] = 253101, + [SMALL_STATE(7092)] = 253109, + [SMALL_STATE(7093)] = 253117, + [SMALL_STATE(7094)] = 253125, + [SMALL_STATE(7095)] = 253133, + [SMALL_STATE(7096)] = 253141, + [SMALL_STATE(7097)] = 253149, + [SMALL_STATE(7098)] = 253157, + [SMALL_STATE(7099)] = 253165, + [SMALL_STATE(7100)] = 253173, + [SMALL_STATE(7101)] = 253181, + [SMALL_STATE(7102)] = 253189, + [SMALL_STATE(7103)] = 253197, + [SMALL_STATE(7104)] = 253205, + [SMALL_STATE(7105)] = 253213, + [SMALL_STATE(7106)] = 253221, + [SMALL_STATE(7107)] = 253229, + [SMALL_STATE(7108)] = 253237, + [SMALL_STATE(7109)] = 253245, + [SMALL_STATE(7110)] = 253253, + [SMALL_STATE(7111)] = 253261, + [SMALL_STATE(7112)] = 253269, + [SMALL_STATE(7113)] = 253277, + [SMALL_STATE(7114)] = 253285, + [SMALL_STATE(7115)] = 253293, + [SMALL_STATE(7116)] = 253301, + [SMALL_STATE(7117)] = 253309, + [SMALL_STATE(7118)] = 253317, + [SMALL_STATE(7119)] = 253325, + [SMALL_STATE(7120)] = 253333, + [SMALL_STATE(7121)] = 253341, + [SMALL_STATE(7122)] = 253349, + [SMALL_STATE(7123)] = 253357, + [SMALL_STATE(7124)] = 253365, + [SMALL_STATE(7125)] = 253373, + [SMALL_STATE(7126)] = 253381, + [SMALL_STATE(7127)] = 253389, + [SMALL_STATE(7128)] = 253397, + [SMALL_STATE(7129)] = 253405, + [SMALL_STATE(7130)] = 253413, + [SMALL_STATE(7131)] = 253421, + [SMALL_STATE(7132)] = 253429, + [SMALL_STATE(7133)] = 253437, + [SMALL_STATE(7134)] = 253445, + [SMALL_STATE(7135)] = 253453, + [SMALL_STATE(7136)] = 253461, + [SMALL_STATE(7137)] = 253469, + [SMALL_STATE(7138)] = 253477, + [SMALL_STATE(7139)] = 253485, + [SMALL_STATE(7140)] = 253493, + [SMALL_STATE(7141)] = 253501, + [SMALL_STATE(7142)] = 253509, + [SMALL_STATE(7143)] = 253517, + [SMALL_STATE(7144)] = 253525, + [SMALL_STATE(7145)] = 253533, + [SMALL_STATE(7146)] = 253541, + [SMALL_STATE(7147)] = 253549, + [SMALL_STATE(7148)] = 253557, + [SMALL_STATE(7149)] = 253565, + [SMALL_STATE(7150)] = 253573, + [SMALL_STATE(7151)] = 253581, + [SMALL_STATE(7152)] = 253589, + [SMALL_STATE(7153)] = 253597, + [SMALL_STATE(7154)] = 253605, + [SMALL_STATE(7155)] = 253613, + [SMALL_STATE(7156)] = 253621, + [SMALL_STATE(7157)] = 253629, + [SMALL_STATE(7158)] = 253637, + [SMALL_STATE(7159)] = 253645, + [SMALL_STATE(7160)] = 253653, + [SMALL_STATE(7161)] = 253661, + [SMALL_STATE(7162)] = 253669, + [SMALL_STATE(7163)] = 253677, + [SMALL_STATE(7164)] = 253685, + [SMALL_STATE(7165)] = 253693, + [SMALL_STATE(7166)] = 253701, + [SMALL_STATE(7167)] = 253709, + [SMALL_STATE(7168)] = 253717, + [SMALL_STATE(7169)] = 253725, + [SMALL_STATE(7170)] = 253733, + [SMALL_STATE(7171)] = 253741, + [SMALL_STATE(7172)] = 253749, + [SMALL_STATE(7173)] = 253757, + [SMALL_STATE(7174)] = 253765, + [SMALL_STATE(7175)] = 253773, + [SMALL_STATE(7176)] = 253781, + [SMALL_STATE(7177)] = 253789, + [SMALL_STATE(7178)] = 253797, + [SMALL_STATE(7179)] = 253805, + [SMALL_STATE(7180)] = 253813, + [SMALL_STATE(7181)] = 253821, + [SMALL_STATE(7182)] = 253829, + [SMALL_STATE(7183)] = 253837, + [SMALL_STATE(7184)] = 253845, + [SMALL_STATE(7185)] = 253853, + [SMALL_STATE(7186)] = 253861, + [SMALL_STATE(7187)] = 253869, + [SMALL_STATE(7188)] = 253877, + [SMALL_STATE(7189)] = 253885, + [SMALL_STATE(7190)] = 253893, + [SMALL_STATE(7191)] = 253901, + [SMALL_STATE(7192)] = 253909, + [SMALL_STATE(7193)] = 253917, + [SMALL_STATE(7194)] = 253925, + [SMALL_STATE(7195)] = 253933, + [SMALL_STATE(7196)] = 253941, + [SMALL_STATE(7197)] = 253949, + [SMALL_STATE(7198)] = 253957, + [SMALL_STATE(7199)] = 253965, + [SMALL_STATE(7200)] = 253973, + [SMALL_STATE(7201)] = 253981, + [SMALL_STATE(7202)] = 253989, + [SMALL_STATE(7203)] = 253997, + [SMALL_STATE(7204)] = 254005, + [SMALL_STATE(7205)] = 254013, + [SMALL_STATE(7206)] = 254021, + [SMALL_STATE(7207)] = 254029, + [SMALL_STATE(7208)] = 254037, + [SMALL_STATE(7209)] = 254045, + [SMALL_STATE(7210)] = 254053, + [SMALL_STATE(7211)] = 254061, + [SMALL_STATE(7212)] = 254069, + [SMALL_STATE(7213)] = 254077, + [SMALL_STATE(7214)] = 254085, + [SMALL_STATE(7215)] = 254093, + [SMALL_STATE(7216)] = 254101, + [SMALL_STATE(7217)] = 254109, + [SMALL_STATE(7218)] = 254117, + [SMALL_STATE(7219)] = 254125, + [SMALL_STATE(7220)] = 254133, + [SMALL_STATE(7221)] = 254141, + [SMALL_STATE(7222)] = 254149, + [SMALL_STATE(7223)] = 254157, + [SMALL_STATE(7224)] = 254165, + [SMALL_STATE(7225)] = 254173, + [SMALL_STATE(7226)] = 254181, + [SMALL_STATE(7227)] = 254189, + [SMALL_STATE(7228)] = 254197, + [SMALL_STATE(7229)] = 254205, + [SMALL_STATE(7230)] = 254213, + [SMALL_STATE(7231)] = 254221, + [SMALL_STATE(7232)] = 254229, + [SMALL_STATE(7233)] = 254237, + [SMALL_STATE(7234)] = 254245, + [SMALL_STATE(7235)] = 254253, + [SMALL_STATE(7236)] = 254261, + [SMALL_STATE(7237)] = 254269, + [SMALL_STATE(7238)] = 254277, + [SMALL_STATE(7239)] = 254285, + [SMALL_STATE(7240)] = 254293, + [SMALL_STATE(7241)] = 254301, + [SMALL_STATE(7242)] = 254309, + [SMALL_STATE(7243)] = 254317, + [SMALL_STATE(7244)] = 254325, + [SMALL_STATE(7245)] = 254333, + [SMALL_STATE(7246)] = 254341, + [SMALL_STATE(7247)] = 254349, + [SMALL_STATE(7248)] = 254357, + [SMALL_STATE(7249)] = 254365, + [SMALL_STATE(7250)] = 254373, + [SMALL_STATE(7251)] = 254381, + [SMALL_STATE(7252)] = 254389, + [SMALL_STATE(7253)] = 254397, + [SMALL_STATE(7254)] = 254405, + [SMALL_STATE(7255)] = 254413, + [SMALL_STATE(7256)] = 254421, + [SMALL_STATE(7257)] = 254429, + [SMALL_STATE(7258)] = 254437, + [SMALL_STATE(7259)] = 254445, + [SMALL_STATE(7260)] = 254453, + [SMALL_STATE(7261)] = 254461, + [SMALL_STATE(7262)] = 254469, + [SMALL_STATE(7263)] = 254477, + [SMALL_STATE(7264)] = 254485, + [SMALL_STATE(7265)] = 254493, + [SMALL_STATE(7266)] = 254501, + [SMALL_STATE(7267)] = 254509, + [SMALL_STATE(7268)] = 254517, + [SMALL_STATE(7269)] = 254525, + [SMALL_STATE(7270)] = 254533, + [SMALL_STATE(7271)] = 254541, + [SMALL_STATE(7272)] = 254549, + [SMALL_STATE(7273)] = 254557, + [SMALL_STATE(7274)] = 254565, + [SMALL_STATE(7275)] = 254573, + [SMALL_STATE(7276)] = 254581, + [SMALL_STATE(7277)] = 254589, + [SMALL_STATE(7278)] = 254597, + [SMALL_STATE(7279)] = 254605, + [SMALL_STATE(7280)] = 254613, + [SMALL_STATE(7281)] = 254621, + [SMALL_STATE(7282)] = 254629, + [SMALL_STATE(7283)] = 254637, + [SMALL_STATE(7284)] = 254645, + [SMALL_STATE(7285)] = 254653, + [SMALL_STATE(7286)] = 254661, + [SMALL_STATE(7287)] = 254669, + [SMALL_STATE(7288)] = 254677, + [SMALL_STATE(7289)] = 254685, + [SMALL_STATE(7290)] = 254693, + [SMALL_STATE(7291)] = 254701, + [SMALL_STATE(7292)] = 254709, + [SMALL_STATE(7293)] = 254717, + [SMALL_STATE(7294)] = 254725, + [SMALL_STATE(7295)] = 254733, + [SMALL_STATE(7296)] = 254741, + [SMALL_STATE(7297)] = 254749, + [SMALL_STATE(7298)] = 254757, + [SMALL_STATE(7299)] = 254765, + [SMALL_STATE(7300)] = 254773, + [SMALL_STATE(7301)] = 254781, + [SMALL_STATE(7302)] = 254789, + [SMALL_STATE(7303)] = 254797, + [SMALL_STATE(7304)] = 254805, + [SMALL_STATE(7305)] = 254813, + [SMALL_STATE(7306)] = 254821, + [SMALL_STATE(7307)] = 254829, + [SMALL_STATE(7308)] = 254837, + [SMALL_STATE(7309)] = 254845, + [SMALL_STATE(7310)] = 254853, + [SMALL_STATE(7311)] = 254861, + [SMALL_STATE(7312)] = 254869, + [SMALL_STATE(7313)] = 254877, + [SMALL_STATE(7314)] = 254885, + [SMALL_STATE(7315)] = 254893, + [SMALL_STATE(7316)] = 254901, + [SMALL_STATE(7317)] = 254909, + [SMALL_STATE(7318)] = 254917, + [SMALL_STATE(7319)] = 254925, + [SMALL_STATE(7320)] = 254933, + [SMALL_STATE(7321)] = 254941, + [SMALL_STATE(7322)] = 254949, + [SMALL_STATE(7323)] = 254957, + [SMALL_STATE(7324)] = 254965, + [SMALL_STATE(7325)] = 254973, + [SMALL_STATE(7326)] = 254981, + [SMALL_STATE(7327)] = 254989, + [SMALL_STATE(7328)] = 254997, + [SMALL_STATE(7329)] = 255005, + [SMALL_STATE(7330)] = 255013, + [SMALL_STATE(7331)] = 255021, + [SMALL_STATE(7332)] = 255029, + [SMALL_STATE(7333)] = 255037, + [SMALL_STATE(7334)] = 255045, + [SMALL_STATE(7335)] = 255053, + [SMALL_STATE(7336)] = 255061, + [SMALL_STATE(7337)] = 255069, + [SMALL_STATE(7338)] = 255077, + [SMALL_STATE(7339)] = 255085, + [SMALL_STATE(7340)] = 255093, + [SMALL_STATE(7341)] = 255101, +}; + +static const TSParseActionEntry ts_parse_actions[] = { + [0] = {.entry = {.count = 0, .reusable = false}}, + [1] = {.entry = {.count = 1, .reusable = false}}, RECOVER(), + [3] = {.entry = {.count = 1, .reusable = true}}, SHIFT_EXTRA(), + [5] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_design_file, 0), + [7] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4374), + [9] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4006), + [11] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5891), + [13] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3951), + [15] = {.entry = {.count = 1, .reusable = true}}, SHIFT(488), + [17] = {.entry = {.count = 1, .reusable = true}}, SHIFT(458), + [19] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5840), + [21] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4699), + [23] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4737), + [25] = {.entry = {.count = 1, .reusable = true}}, SHIFT(646), + [27] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5340), + [29] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4864), + [31] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5929), + [33] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5344), + [35] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5927), + [37] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5346), + [39] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5348), + [41] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5350), + [43] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7333), + [45] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7326), + [47] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4372), + [49] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5359), + [51] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3955), + [53] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5917), + [55] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5909), + [57] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5906), + [59] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3966), + [61] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5905), + [63] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3663), + [65] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5374), + [67] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7319), + [69] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5296), + [71] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3831), + [73] = {.entry = {.count = 1, .reusable = true}}, SHIFT(40), + [75] = {.entry = {.count = 1, .reusable = true}}, SHIFT(678), + [77] = {.entry = {.count = 1, .reusable = true}}, SHIFT(679), + [79] = {.entry = {.count = 1, .reusable = true}}, SHIFT(628), + [81] = {.entry = {.count = 1, .reusable = true}}, SHIFT(627), + [83] = {.entry = {.count = 1, .reusable = true}}, SHIFT(138), + [85] = {.entry = {.count = 1, .reusable = true}}, SHIFT(683), + [87] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4666), + [89] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4662), + [91] = {.entry = {.count = 1, .reusable = true}}, SHIFT(170), + [93] = {.entry = {.count = 1, .reusable = true}}, SHIFT(246), + [95] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5290), + [97] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3862), + [99] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4382), + [101] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3652), + [103] = {.entry = {.count = 1, .reusable = false}}, SHIFT(7318), + [105] = {.entry = {.count = 1, .reusable = true}}, SHIFT(466), + [107] = {.entry = {.count = 1, .reusable = false}}, SHIFT(7317), + [109] = {.entry = {.count = 1, .reusable = false}}, SHIFT(37), + [111] = {.entry = {.count = 1, .reusable = true}}, SHIFT(54), + [113] = {.entry = {.count = 1, .reusable = false}}, SHIFT(179), + [115] = {.entry = {.count = 1, .reusable = true}}, SHIFT(186), + [117] = {.entry = {.count = 1, .reusable = true}}, SHIFT(190), + [119] = {.entry = {.count = 1, .reusable = true}}, SHIFT(402), + [121] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7316), + [123] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5904), + [125] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5900), + [127] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), + [129] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(4374), + [132] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(4006), + [135] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5891), + [138] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(3951), + [141] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(488), + [144] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(458), + [147] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5840), + [150] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(4699), + [153] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(4737), + [156] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(646), + [159] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5340), + [162] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(4864), + [165] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5929), + [168] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5344), + [171] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5927), + [174] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5346), + [177] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5348), + [180] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5350), + [183] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(7333), + [186] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(7326), + [189] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(4372), + [192] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5359), + [195] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(3955), + [198] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5917), + [201] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5909), + [204] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5906), + [207] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(3966), + [210] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5905), + [213] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(3663), + [216] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5374), + [219] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(7319), + [222] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5296), + [225] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(3831), + [228] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(40), + [231] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(678), + [234] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(679), + [237] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(628), + [240] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(627), + [243] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(138), + [246] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(683), + [249] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(4666), + [252] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(4662), + [255] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(170), + [258] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(246), + [261] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5290), + [264] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(3862), + [267] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(4382), + [270] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(3652), + [273] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(7318), + [276] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(466), + [279] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(7317), + [282] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(37), + [285] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(54), + [288] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(179), + [291] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(186), + [294] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(190), + [297] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(402), + [300] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(7316), + [303] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5904), + [306] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_design_file_repeat1, 2), SHIFT_REPEAT(5900), + [309] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_design_file, 1), + [311] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4007), + [313] = {.entry = {.count = 1, .reusable = true}}, SHIFT(247), + [315] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_elsif_generate, 4, .production_id = 79), SHIFT(4908), + [318] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4004), + [320] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1925), + [322] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5923), + [324] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4719), + [326] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4712), + [328] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5497), + [330] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5799), + [332] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5496), + [334] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5811), + [336] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5494), + [338] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5493), + [340] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5491), + [342] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7258), + [344] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7146), + [346] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4485), + [348] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5488), + [350] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3964), + [352] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5925), + [354] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5830), + [356] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5688), + [358] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3983), + [360] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3661), + [362] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3824), + [364] = {.entry = {.count = 1, .reusable = true}}, SHIFT(43), + [366] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_elsif_generate, 4, .production_id = 79), + [368] = {.entry = {.count = 1, .reusable = true}}, SHIFT(662), + [370] = {.entry = {.count = 1, .reusable = true}}, SHIFT(641), + [372] = {.entry = {.count = 1, .reusable = true}}, SHIFT(654), + [374] = {.entry = {.count = 1, .reusable = true}}, SHIFT(167), + [376] = {.entry = {.count = 1, .reusable = true}}, SHIFT(250), + [378] = {.entry = {.count = 1, .reusable = false}}, SHIFT(57), + [380] = {.entry = {.count = 1, .reusable = true}}, SHIFT(61), + [382] = {.entry = {.count = 1, .reusable = false}}, SHIFT(183), + [384] = {.entry = {.count = 1, .reusable = true}}, SHIFT(177), + [386] = {.entry = {.count = 1, .reusable = true}}, SHIFT(175), + [388] = {.entry = {.count = 1, .reusable = true}}, SHIFT(420), + [390] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7260), + [392] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_if_generate, 3, .production_id = 22), SHIFT(4908), + [395] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate, 3, .production_id = 22), + [397] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_if_generate, 4, .production_id = 79), SHIFT(4908), + [400] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate, 4, .production_id = 79), + [402] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_elsif_generate, 3, .production_id = 22), SHIFT(4908), + [405] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_elsif_generate, 3, .production_id = 22), + [407] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_case_generate_alternative, 3), SHIFT(4908), + [410] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_generate_alternative, 3), + [412] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_case_generate_alternative, 4), SHIFT(4908), + [415] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_generate_alternative, 4), + [417] = {.entry = {.count = 1, .reusable = true}}, SHIFT(52), + [419] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1187), + [421] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3821), + [423] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1578), + [425] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_else_generate, 3), SHIFT(4908), + [428] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4732), + [430] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_else_generate, 2), SHIFT(4908), + [433] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4802), + [435] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1033), + [437] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1340), + [439] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4687), + [441] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4726), + [443] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1442), + [445] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(4374), + [448] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(4007), + [451] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(4004), + [454] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(1925), + [457] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(458), + [460] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5923), + [463] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(4719), + [466] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(4712), + [469] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5497), + [472] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(4864), + [475] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5799), + [478] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5496), + [481] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5811), + [484] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5494), + [487] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5493), + [490] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5491), + [493] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(7258), + [496] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(7146), + [499] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(4485), + [502] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5488), + [505] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(3964), + [508] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5925), + [511] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5830), + [514] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5688), + [517] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(3983), + [520] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(3661), + [523] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(5374), + [526] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(3824), + [529] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(52), + [532] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(662), + [535] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(641), + [538] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(654), + [541] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(167), + [544] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(250), + [547] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(4382), + [550] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(3652), + [553] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(7318), + [556] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(466), + [559] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(7317), + [562] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), + [564] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(57), + [567] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(61), + [570] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(183), + [573] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(177), + [576] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(175), + [579] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(420), + [582] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat2, 2), SHIFT_REPEAT(7260), + [585] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1611), + [587] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1936), + [589] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5233), + [591] = {.entry = {.count = 1, .reusable = true}}, SHIFT(26), + [593] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3709), + [595] = {.entry = {.count = 1, .reusable = true}}, SHIFT(199), + [597] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7247), + [599] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5358), + [601] = {.entry = {.count = 1, .reusable = true}}, SHIFT(818), + [603] = {.entry = {.count = 1, .reusable = true}}, SHIFT(816), + [605] = {.entry = {.count = 1, .reusable = true}}, SHIFT(86), + [607] = {.entry = {.count = 1, .reusable = true}}, SHIFT(814), + [609] = {.entry = {.count = 1, .reusable = true}}, SHIFT(813), + [611] = {.entry = {.count = 1, .reusable = false}}, SHIFT(813), + [613] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2399), + [615] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5106), + [617] = {.entry = {.count = 1, .reusable = false}}, SHIFT(35), + [619] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1923), + [621] = {.entry = {.count = 1, .reusable = false}}, SHIFT(577), + [623] = {.entry = {.count = 1, .reusable = true}}, SHIFT(577), + [625] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1960), + [627] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6036), + [629] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1964), + [631] = {.entry = {.count = 1, .reusable = false}}, SHIFT(7186), + [633] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2401), + [635] = {.entry = {.count = 1, .reusable = false}}, SHIFT(7252), + [637] = {.entry = {.count = 1, .reusable = false}}, SHIFT(7247), + [639] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7246), + [641] = {.entry = {.count = 1, .reusable = true}}, SHIFT(120), + [643] = {.entry = {.count = 1, .reusable = true}}, SHIFT(83), + [645] = {.entry = {.count = 1, .reusable = true}}, SHIFT(33), + [647] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4627), + [649] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4627), + [651] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5612), + [653] = {.entry = {.count = 1, .reusable = true}}, SHIFT(126), + [655] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2767), + [657] = {.entry = {.count = 1, .reusable = false}}, SHIFT(5233), + [659] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3701), + [661] = {.entry = {.count = 1, .reusable = true}}, SHIFT(916), + [663] = {.entry = {.count = 1, .reusable = true}}, SHIFT(919), + [665] = {.entry = {.count = 1, .reusable = true}}, SHIFT(82), + [667] = {.entry = {.count = 1, .reusable = true}}, SHIFT(923), + [669] = {.entry = {.count = 1, .reusable = true}}, SHIFT(925), + [671] = {.entry = {.count = 1, .reusable = false}}, SHIFT(925), + [673] = {.entry = {.count = 1, .reusable = false}}, SHIFT(31), + [675] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2766), + [677] = {.entry = {.count = 1, .reusable = true}}, SHIFT(114), + [679] = {.entry = {.count = 1, .reusable = true}}, SHIFT(32), + [681] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5547), + [683] = {.entry = {.count = 1, .reusable = true}}, SHIFT(25), + [685] = {.entry = {.count = 1, .reusable = true}}, SHIFT(41), + [687] = {.entry = {.count = 1, .reusable = true}}, SHIFT(27), + [689] = {.entry = {.count = 1, .reusable = true}}, SHIFT(80), + [691] = {.entry = {.count = 1, .reusable = true}}, SHIFT(49), + [693] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3708), + [695] = {.entry = {.count = 1, .reusable = true}}, SHIFT(856), + [697] = {.entry = {.count = 1, .reusable = true}}, SHIFT(854), + [699] = {.entry = {.count = 1, .reusable = true}}, SHIFT(117), + [701] = {.entry = {.count = 1, .reusable = true}}, SHIFT(853), + [703] = {.entry = {.count = 1, .reusable = true}}, SHIFT(852), + [705] = {.entry = {.count = 1, .reusable = false}}, SHIFT(852), + [707] = {.entry = {.count = 1, .reusable = false}}, SHIFT(34), + [709] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1858), + [711] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1858), + [713] = {.entry = {.count = 1, .reusable = true}}, SHIFT(127), + [715] = {.entry = {.count = 1, .reusable = true}}, SHIFT(103), + [717] = {.entry = {.count = 1, .reusable = true}}, SHIFT(36), + [719] = {.entry = {.count = 1, .reusable = true}}, SHIFT(50), + [721] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5424), + [723] = {.entry = {.count = 1, .reusable = true}}, SHIFT(68), + [725] = {.entry = {.count = 1, .reusable = false}}, SHIFT(101), + [727] = {.entry = {.count = 1, .reusable = true}}, SHIFT(72), + [729] = {.entry = {.count = 1, .reusable = false}}, SHIFT(99), + [731] = {.entry = {.count = 1, .reusable = true}}, SHIFT(73), + [733] = {.entry = {.count = 1, .reusable = false}}, SHIFT(94), + [735] = {.entry = {.count = 1, .reusable = true}}, SHIFT(70), + [737] = {.entry = {.count = 1, .reusable = false}}, SHIFT(88), + [739] = {.entry = {.count = 1, .reusable = true}}, SHIFT(71), + [741] = {.entry = {.count = 1, .reusable = false}}, SHIFT(87), + [743] = {.entry = {.count = 1, .reusable = true}}, SHIFT(69), + [745] = {.entry = {.count = 1, .reusable = false}}, SHIFT(95), + [747] = {.entry = {.count = 1, .reusable = false}}, SHIFT(112), + [749] = {.entry = {.count = 1, .reusable = false}}, SHIFT(105), + [751] = {.entry = {.count = 1, .reusable = false}}, SHIFT(118), + [753] = {.entry = {.count = 1, .reusable = false}}, SHIFT(115), + [755] = {.entry = {.count = 1, .reusable = false}}, SHIFT(84), + [757] = {.entry = {.count = 1, .reusable = false}}, SHIFT(77), + [759] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2998), + [761] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5307), + [763] = {.entry = {.count = 1, .reusable = true}}, SHIFT(464), + [765] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3695), + [767] = {.entry = {.count = 1, .reusable = true}}, SHIFT(181), + [769] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6944), + [771] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5357), + [773] = {.entry = {.count = 1, .reusable = true}}, SHIFT(957), + [775] = {.entry = {.count = 1, .reusable = true}}, SHIFT(958), + [777] = {.entry = {.count = 1, .reusable = true}}, SHIFT(963), + [779] = {.entry = {.count = 1, .reusable = true}}, SHIFT(964), + [781] = {.entry = {.count = 1, .reusable = false}}, SHIFT(964), + [783] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2316), + [785] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3005), + [787] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1901), + [789] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1901), + [791] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1939), + [793] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6167), + [795] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1961), + [797] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6037), + [799] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2314), + [801] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6047), + [803] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6944), + [805] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6945), + [807] = {.entry = {.count = 1, .reusable = true}}, SHIFT(121), + [809] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(4374), + [812] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), + [814] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(5426), + [817] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(458), + [820] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(629), + [823] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(7146), + [826] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(5830), + [829] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(5688), + [832] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(5374), + [835] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(7191), + [838] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(5221), + [841] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(3824), + [844] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(43), + [847] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(678), + [850] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(655), + [853] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(815), + [856] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(618), + [859] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(140), + [862] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(683), + [865] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(4643), + [868] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(4644), + [871] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(250), + [874] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(4382), + [877] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(3652), + [880] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(7318), + [883] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(466), + [886] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(7317), + [889] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(57), + [892] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(61), + [895] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(183), + [898] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(177), + [901] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(175), + [904] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(420), + [907] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_of_statements_repeat1, 2), SHIFT_REPEAT(7260), + [910] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence_of_statements, 1), + [912] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5426), + [914] = {.entry = {.count = 1, .reusable = true}}, SHIFT(629), + [916] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7191), + [918] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5221), + [920] = {.entry = {.count = 1, .reusable = true}}, SHIFT(655), + [922] = {.entry = {.count = 1, .reusable = true}}, SHIFT(815), + [924] = {.entry = {.count = 1, .reusable = true}}, SHIFT(618), + [926] = {.entry = {.count = 1, .reusable = true}}, SHIFT(140), + [928] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4643), + [930] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4644), + [932] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if, 3, .production_id = 22), + [934] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_elsif, 3, .production_id = 22), + [936] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3286), + [938] = {.entry = {.count = 1, .reusable = true}}, SHIFT(463), + [940] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3287), + [942] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement_alternative, 3), + [944] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5700), + [946] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5827), + [948] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7243), + [950] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5695), + [952] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6399), + [954] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5722), + [956] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7064), + [958] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6699), + [960] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4131), + [962] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5738), + [964] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5727), + [966] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_else, 1), + [968] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5724), + [970] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5728), + [972] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5732), + [974] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4192), + [976] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5711), + [978] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5931), + [980] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4185), + [982] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5902), + [984] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5795), + [986] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4121), + [988] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4132), + [990] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4195), + [992] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5735), + [994] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6496), + [996] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5767), + [998] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6622), + [1000] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4133), + [1002] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4197), + [1004] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5714), + [1006] = {.entry = {.count = 1, .reusable = false}}, SHIFT(7226), + [1008] = {.entry = {.count = 1, .reusable = true}}, SHIFT(203), + [1010] = {.entry = {.count = 1, .reusable = true}}, SHIFT(330), + [1012] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3310), + [1014] = {.entry = {.count = 1, .reusable = true}}, SHIFT(640), + [1016] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5868), + [1018] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5867), + [1020] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5866), + [1022] = {.entry = {.count = 1, .reusable = true}}, SHIFT(46), + [1024] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7224), + [1026] = {.entry = {.count = 1, .reusable = true}}, SHIFT(202), + [1028] = {.entry = {.count = 1, .reusable = true}}, SHIFT(317), + [1030] = {.entry = {.count = 1, .reusable = true}}, SHIFT(583), + [1032] = {.entry = {.count = 1, .reusable = true}}, SHIFT(208), + [1034] = {.entry = {.count = 1, .reusable = true}}, SHIFT(373), + [1036] = {.entry = {.count = 1, .reusable = true}}, SHIFT(643), + [1038] = {.entry = {.count = 1, .reusable = true}}, SHIFT(207), + [1040] = {.entry = {.count = 1, .reusable = true}}, SHIFT(305), + [1042] = {.entry = {.count = 1, .reusable = true}}, SHIFT(636), + [1044] = {.entry = {.count = 1, .reusable = true}}, SHIFT(204), + [1046] = {.entry = {.count = 1, .reusable = true}}, SHIFT(290), + [1048] = {.entry = {.count = 1, .reusable = true}}, SHIFT(206), + [1050] = {.entry = {.count = 1, .reusable = true}}, SHIFT(263), + [1052] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4783), + [1054] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3929), + [1056] = {.entry = {.count = 1, .reusable = true}}, SHIFT(312), + [1058] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4697), + [1060] = {.entry = {.count = 1, .reusable = true}}, SHIFT(128), + [1062] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4789), + [1064] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3926), + [1066] = {.entry = {.count = 1, .reusable = true}}, SHIFT(205), + [1068] = {.entry = {.count = 1, .reusable = true}}, SHIFT(355), + [1070] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2949), + [1072] = {.entry = {.count = 1, .reusable = true}}, SHIFT(461), + [1074] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3707), + [1076] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4672), + [1078] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5411), + [1080] = {.entry = {.count = 1, .reusable = true}}, SHIFT(715), + [1082] = {.entry = {.count = 1, .reusable = true}}, SHIFT(717), + [1084] = {.entry = {.count = 1, .reusable = true}}, SHIFT(718), + [1086] = {.entry = {.count = 1, .reusable = false}}, SHIFT(720), + [1088] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1706), + [1090] = {.entry = {.count = 1, .reusable = true}}, SHIFT(459), + [1092] = {.entry = {.count = 1, .reusable = false}}, SHIFT(575), + [1094] = {.entry = {.count = 1, .reusable = true}}, SHIFT(575), + [1096] = {.entry = {.count = 1, .reusable = true}}, SHIFT(572), + [1098] = {.entry = {.count = 1, .reusable = false}}, SHIFT(7185), + [1100] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1705), + [1102] = {.entry = {.count = 1, .reusable = false}}, SHIFT(7296), + [1104] = {.entry = {.count = 1, .reusable = true}}, SHIFT(221), + [1106] = {.entry = {.count = 1, .reusable = true}}, SHIFT(308), + [1108] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4695), + [1110] = {.entry = {.count = 1, .reusable = true}}, SHIFT(124), + [1112] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4805), + [1114] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4025), + [1116] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4807), + [1118] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4026), + [1120] = {.entry = {.count = 1, .reusable = true}}, SHIFT(201), + [1122] = {.entry = {.count = 1, .reusable = true}}, SHIFT(349), + [1124] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3817), + [1126] = {.entry = {.count = 1, .reusable = true}}, SHIFT(220), + [1128] = {.entry = {.count = 1, .reusable = true}}, SHIFT(292), + [1130] = {.entry = {.count = 1, .reusable = true}}, SHIFT(345), + [1132] = {.entry = {.count = 1, .reusable = true}}, SHIFT(369), + [1134] = {.entry = {.count = 1, .reusable = true}}, SHIFT(372), + [1136] = {.entry = {.count = 1, .reusable = true}}, SHIFT(314), + [1138] = {.entry = {.count = 1, .reusable = true}}, SHIFT(302), + [1140] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2900), + [1142] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3704), + [1144] = {.entry = {.count = 1, .reusable = true}}, SHIFT(817), + [1146] = {.entry = {.count = 1, .reusable = true}}, SHIFT(966), + [1148] = {.entry = {.count = 1, .reusable = true}}, SHIFT(969), + [1150] = {.entry = {.count = 1, .reusable = true}}, SHIFT(895), + [1152] = {.entry = {.count = 1, .reusable = false}}, SHIFT(895), + [1154] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1946), + [1156] = {.entry = {.count = 1, .reusable = false}}, SHIFT(620), + [1158] = {.entry = {.count = 1, .reusable = true}}, SHIFT(439), + [1160] = {.entry = {.count = 1, .reusable = true}}, SHIFT(699), + [1162] = {.entry = {.count = 1, .reusable = true}}, SHIFT(720), + [1164] = {.entry = {.count = 1, .reusable = true}}, SHIFT(531), + [1166] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2344), + [1168] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2120), + [1170] = {.entry = {.count = 1, .reusable = true}}, SHIFT(210), + [1172] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2818), + [1174] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3444), + [1176] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5741), + [1178] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6487), + [1180] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3812), + [1182] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4634), + [1184] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4434), + [1186] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2122), + [1188] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2418), + [1190] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4829), + [1192] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3183), + [1194] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3876), + [1196] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4820), + [1198] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2439), + [1200] = {.entry = {.count = 1, .reusable = true}}, SHIFT(368), + [1202] = {.entry = {.count = 1, .reusable = true}}, SHIFT(152), + [1204] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3761), + [1206] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_generate_statement_body, 1), SHIFT(4978), + [1209] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5518), + [1211] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4003), + [1213] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generate_statement_body, 1), + [1215] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_generate_statement_body, 3), SHIFT(5182), + [1218] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generate_statement_body, 3), + [1220] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_generate_statement_body, 2), SHIFT(5019), + [1223] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generate_statement_body, 2), + [1225] = {.entry = {.count = 1, .reusable = true}}, SHIFT(272), + [1227] = {.entry = {.count = 1, .reusable = true}}, SHIFT(156), + [1229] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3774), + [1231] = {.entry = {.count = 1, .reusable = true}}, SHIFT(344), + [1233] = {.entry = {.count = 1, .reusable = true}}, SHIFT(148), + [1235] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3752), + [1237] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(4374), + [1240] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(4007), + [1243] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), + [1245] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(4004), + [1248] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(5518), + [1251] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(458), + [1254] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(4864), + [1257] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(7146), + [1260] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(4003), + [1263] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(5830), + [1266] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(5688), + [1269] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(5374), + [1272] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(3824), + [1275] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(43), + [1278] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(662), + [1281] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(641), + [1284] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(654), + [1287] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(167), + [1290] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(250), + [1293] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(4382), + [1296] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(3652), + [1299] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(7318), + [1302] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(466), + [1305] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(7317), + [1308] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(57), + [1311] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(61), + [1314] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(183), + [1317] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(177), + [1320] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(175), + [1323] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(420), + [1326] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concurrent_statement_part_repeat1, 2), SHIFT_REPEAT(7260), + [1329] = {.entry = {.count = 1, .reusable = true}}, SHIFT(321), + [1331] = {.entry = {.count = 1, .reusable = true}}, SHIFT(145), + [1333] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3764), + [1335] = {.entry = {.count = 1, .reusable = true}}, SHIFT(298), + [1337] = {.entry = {.count = 1, .reusable = true}}, SHIFT(139), + [1339] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3759), + [1341] = {.entry = {.count = 1, .reusable = true}}, SHIFT(273), + [1343] = {.entry = {.count = 1, .reusable = true}}, SHIFT(160), + [1345] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3737), + [1347] = {.entry = {.count = 1, .reusable = true}}, SHIFT(309), + [1349] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4795), + [1351] = {.entry = {.count = 1, .reusable = true}}, SHIFT(274), + [1353] = {.entry = {.count = 1, .reusable = true}}, SHIFT(166), + [1355] = {.entry = {.count = 1, .reusable = true}}, SHIFT(303), + [1357] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4793), + [1359] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6554), + [1361] = {.entry = {.count = 1, .reusable = true}}, SHIFT(300), + [1363] = {.entry = {.count = 1, .reusable = true}}, SHIFT(265), + [1365] = {.entry = {.count = 1, .reusable = true}}, SHIFT(141), + [1367] = {.entry = {.count = 1, .reusable = true}}, SHIFT(370), + [1369] = {.entry = {.count = 1, .reusable = true}}, SHIFT(153), + [1371] = {.entry = {.count = 1, .reusable = true}}, SHIFT(315), + [1373] = {.entry = {.count = 1, .reusable = true}}, SHIFT(155), + [1375] = {.entry = {.count = 1, .reusable = true}}, SHIFT(279), + [1377] = {.entry = {.count = 1, .reusable = true}}, SHIFT(136), + [1379] = {.entry = {.count = 1, .reusable = true}}, SHIFT(358), + [1381] = {.entry = {.count = 1, .reusable = true}}, SHIFT(137), + [1383] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6156), + [1385] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6017), + [1387] = {.entry = {.count = 1, .reusable = true}}, SHIFT(351), + [1389] = {.entry = {.count = 1, .reusable = true}}, SHIFT(146), + [1391] = {.entry = {.count = 1, .reusable = true}}, SHIFT(278), + [1393] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6670), + [1395] = {.entry = {.count = 1, .reusable = true}}, SHIFT(306), + [1397] = {.entry = {.count = 1, .reusable = true}}, SHIFT(323), + [1399] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4771), + [1401] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_declarative_part, 1), + [1403] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6162), + [1405] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4766), + [1407] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4756), + [1409] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6768), + [1411] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6202), + [1413] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6704), + [1415] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6767), + [1417] = {.entry = {.count = 1, .reusable = true}}, SHIFT(359), + [1419] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6663), + [1421] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4710), + [1423] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4702), + [1425] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6721), + [1427] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4725), + [1429] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(7226), + [1432] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), + [1434] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(3310), + [1437] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5923), + [1440] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(4719), + [1443] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(4712), + [1446] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5497), + [1449] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5799), + [1452] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5496), + [1455] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5811), + [1458] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5494), + [1461] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5493), + [1464] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5491), + [1467] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(7258), + [1470] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(7146), + [1473] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(4485), + [1476] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5488), + [1479] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5866), + [1482] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5925), + [1485] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5830), + [1488] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(5688), + [1491] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(3983), + [1494] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(3661), + [1497] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(46), + [1500] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(7224), + [1503] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(57), + [1506] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(61), + [1509] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(183), + [1512] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(177), + [1515] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(175), + [1518] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(420), + [1521] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_declarative_part_repeat1, 2), SHIFT_REPEAT(7260), + [1524] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6706), + [1526] = {.entry = {.count = 1, .reusable = true}}, SHIFT(287), + [1528] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6765), + [1530] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6758), + [1532] = {.entry = {.count = 1, .reusable = true}}, SHIFT(159), + [1534] = {.entry = {.count = 1, .reusable = true}}, SHIFT(165), + [1536] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5955), + [1538] = {.entry = {.count = 1, .reusable = true}}, SHIFT(347), + [1540] = {.entry = {.count = 1, .reusable = true}}, SHIFT(340), + [1542] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6354), + [1544] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5953), + [1546] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6661), + [1548] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4816), + [1550] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4814), + [1552] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7057), + [1554] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6755), + [1556] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4421), + [1558] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4794), + [1560] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4762), + [1562] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4758), + [1564] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6259), + [1566] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4798), + [1568] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6020), + [1570] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6123), + [1572] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6662), + [1574] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6801), + [1576] = {.entry = {.count = 1, .reusable = true}}, SHIFT(319), + [1578] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6628), + [1580] = {.entry = {.count = 1, .reusable = true}}, SHIFT(374), + [1582] = {.entry = {.count = 1, .reusable = true}}, SHIFT(275), + [1584] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6762), + [1586] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6788), + [1588] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1903), + [1590] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3702), + [1592] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3651), + [1594] = {.entry = {.count = 1, .reusable = true}}, SHIFT(705), + [1596] = {.entry = {.count = 1, .reusable = true}}, SHIFT(704), + [1598] = {.entry = {.count = 1, .reusable = true}}, SHIFT(703), + [1600] = {.entry = {.count = 1, .reusable = true}}, SHIFT(701), + [1602] = {.entry = {.count = 1, .reusable = false}}, SHIFT(701), + [1604] = {.entry = {.count = 1, .reusable = true}}, SHIFT(400), + [1606] = {.entry = {.count = 1, .reusable = true}}, SHIFT(470), + [1608] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4778), + [1610] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3648), + [1612] = {.entry = {.count = 1, .reusable = true}}, SHIFT(748), + [1614] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5535), + [1616] = {.entry = {.count = 1, .reusable = false}}, SHIFT(546), + [1618] = {.entry = {.count = 1, .reusable = true}}, SHIFT(546), + [1620] = {.entry = {.count = 1, .reusable = true}}, SHIFT(414), + [1622] = {.entry = {.count = 1, .reusable = true}}, SHIFT(472), + [1624] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4731), + [1626] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5948), + [1628] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6705), + [1630] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6497), + [1632] = {.entry = {.count = 1, .reusable = true}}, SHIFT(408), + [1634] = {.entry = {.count = 1, .reusable = true}}, SHIFT(483), + [1636] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4806), + [1638] = {.entry = {.count = 1, .reusable = true}}, SHIFT(348), + [1640] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6754), + [1642] = {.entry = {.count = 1, .reusable = true}}, SHIFT(388), + [1644] = {.entry = {.count = 1, .reusable = true}}, SHIFT(489), + [1646] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4743), + [1648] = {.entry = {.count = 1, .reusable = true}}, SHIFT(280), + [1650] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6617), + [1652] = {.entry = {.count = 1, .reusable = true}}, SHIFT(144), + [1654] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4810), + [1656] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4800), + [1658] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4772), + [1660] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6782), + [1662] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4773), + [1664] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6502), + [1666] = {.entry = {.count = 1, .reusable = true}}, SHIFT(164), + [1668] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4796), + [1670] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6495), + [1672] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6748), + [1674] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6747), + [1676] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6779), + [1678] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4835), + [1680] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6254), + [1682] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6778), + [1684] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6248), + [1686] = {.entry = {.count = 1, .reusable = true}}, SHIFT(361), + [1688] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6773), + [1690] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6734), + [1692] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6733), + [1694] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6060), + [1696] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6031), + [1698] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3453), + [1700] = {.entry = {.count = 1, .reusable = true}}, SHIFT(316), + [1702] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6482), + [1704] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6518), + [1706] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6884), + [1708] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6731), + [1710] = {.entry = {.count = 1, .reusable = true}}, SHIFT(162), + [1712] = {.entry = {.count = 1, .reusable = true}}, SHIFT(301), + [1714] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6066), + [1716] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6607), + [1718] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6609), + [1720] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2597), + [1722] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3714), + [1724] = {.entry = {.count = 1, .reusable = true}}, SHIFT(687), + [1726] = {.entry = {.count = 1, .reusable = true}}, SHIFT(688), + [1728] = {.entry = {.count = 1, .reusable = true}}, SHIFT(689), + [1730] = {.entry = {.count = 1, .reusable = true}}, SHIFT(692), + [1732] = {.entry = {.count = 1, .reusable = false}}, SHIFT(692), + [1734] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2775), + [1736] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1962), + [1738] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_concurrent_statement_part, 1), + [1740] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1890), + [1742] = {.entry = {.count = 1, .reusable = true}}, SHIFT(449), + [1744] = {.entry = {.count = 1, .reusable = true}}, SHIFT(480), + [1746] = {.entry = {.count = 1, .reusable = true}}, SHIFT(442), + [1748] = {.entry = {.count = 1, .reusable = true}}, SHIFT(492), + [1750] = {.entry = {.count = 1, .reusable = true}}, SHIFT(494), + [1752] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4693), + [1754] = {.entry = {.count = 1, .reusable = true}}, SHIFT(444), + [1756] = {.entry = {.count = 1, .reusable = true}}, SHIFT(478), + [1758] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1975), + [1760] = {.entry = {.count = 1, .reusable = true}}, SHIFT(440), + [1762] = {.entry = {.count = 1, .reusable = true}}, SHIFT(484), + [1764] = {.entry = {.count = 1, .reusable = true}}, SHIFT(448), + [1766] = {.entry = {.count = 1, .reusable = true}}, SHIFT(481), + [1768] = {.entry = {.count = 1, .reusable = true}}, SHIFT(485), + [1770] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4759), + [1772] = {.entry = {.count = 1, .reusable = true}}, SHIFT(445), + [1774] = {.entry = {.count = 1, .reusable = true}}, SHIFT(487), + [1776] = {.entry = {.count = 1, .reusable = true}}, SHIFT(446), + [1778] = {.entry = {.count = 1, .reusable = true}}, SHIFT(482), + [1780] = {.entry = {.count = 1, .reusable = true}}, SHIFT(495), + [1782] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4745), + [1784] = {.entry = {.count = 1, .reusable = true}}, SHIFT(479), + [1786] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4834), + [1788] = {.entry = {.count = 1, .reusable = true}}, SHIFT(441), + [1790] = {.entry = {.count = 1, .reusable = true}}, SHIFT(491), + [1792] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2134), + [1794] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3696), + [1796] = {.entry = {.count = 1, .reusable = true}}, SHIFT(935), + [1798] = {.entry = {.count = 1, .reusable = true}}, SHIFT(937), + [1800] = {.entry = {.count = 1, .reusable = true}}, SHIFT(938), + [1802] = {.entry = {.count = 1, .reusable = true}}, SHIFT(939), + [1804] = {.entry = {.count = 1, .reusable = false}}, SHIFT(939), + [1806] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1080), + [1808] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1080), + [1810] = {.entry = {.count = 1, .reusable = true}}, SHIFT(443), + [1812] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2198), + [1814] = {.entry = {.count = 1, .reusable = true}}, SHIFT(460), + [1816] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3717), + [1818] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5356), + [1820] = {.entry = {.count = 1, .reusable = true}}, SHIFT(786), + [1822] = {.entry = {.count = 1, .reusable = true}}, SHIFT(785), + [1824] = {.entry = {.count = 1, .reusable = true}}, SHIFT(783), + [1826] = {.entry = {.count = 1, .reusable = true}}, SHIFT(779), + [1828] = {.entry = {.count = 1, .reusable = false}}, SHIFT(779), + [1830] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2977), + [1832] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2742), + [1834] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1559), + [1836] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1559), + [1838] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2728), + [1840] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6294), + [1842] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2756), + [1844] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6295), + [1846] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2982), + [1848] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6178), + [1850] = {.entry = {.count = 1, .reusable = true}}, SHIFT(447), + [1852] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2994), + [1854] = {.entry = {.count = 1, .reusable = true}}, SHIFT(457), + [1856] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3697), + [1858] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5355), + [1860] = {.entry = {.count = 1, .reusable = true}}, SHIFT(695), + [1862] = {.entry = {.count = 1, .reusable = true}}, SHIFT(694), + [1864] = {.entry = {.count = 1, .reusable = true}}, SHIFT(682), + [1866] = {.entry = {.count = 1, .reusable = true}}, SHIFT(680), + [1868] = {.entry = {.count = 1, .reusable = false}}, SHIFT(680), + [1870] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3460), + [1872] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3148), + [1874] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2098), + [1876] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2098), + [1878] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3170), + [1880] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6302), + [1882] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3166), + [1884] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6303), + [1886] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3456), + [1888] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6250), + [1890] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3133), + [1892] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3720), + [1894] = {.entry = {.count = 1, .reusable = true}}, SHIFT(768), + [1896] = {.entry = {.count = 1, .reusable = true}}, SHIFT(767), + [1898] = {.entry = {.count = 1, .reusable = true}}, SHIFT(766), + [1900] = {.entry = {.count = 1, .reusable = true}}, SHIFT(765), + [1902] = {.entry = {.count = 1, .reusable = false}}, SHIFT(765), + [1904] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3312), + [1906] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3698), + [1908] = {.entry = {.count = 1, .reusable = true}}, SHIFT(746), + [1910] = {.entry = {.count = 1, .reusable = true}}, SHIFT(750), + [1912] = {.entry = {.count = 1, .reusable = true}}, SHIFT(753), + [1914] = {.entry = {.count = 1, .reusable = true}}, SHIFT(762), + [1916] = {.entry = {.count = 1, .reusable = false}}, SHIFT(762), + [1918] = {.entry = {.count = 1, .reusable = false}}, SHIFT(634), + [1920] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_design_unit, 1), + [1922] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_design_unit, 1), + [1924] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5861), + [1926] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5846), + [1928] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5843), + [1930] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2141), + [1932] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2489), + [1934] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__simple_name, 1, .production_id = 1), + [1936] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__simple_name, 1, .production_id = 1), + [1938] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_string_literal, 1), + [1940] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_string_literal, 1), + [1942] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2869), + [1944] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_string_literal, 2), + [1946] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_string_literal, 2), + [1948] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3542), + [1950] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3654), + [1952] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2470), + [1954] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1263), + [1956] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3119), + [1958] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3090), + [1960] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3006), + [1962] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1630), + [1964] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1297), + [1966] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3562), + [1968] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_specification, 1), + [1970] = {.entry = {.count = 1, .reusable = false}}, SHIFT(5386), + [1972] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3647), + [1974] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5387), + [1976] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_specification, 1), + [1978] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3508), + [1980] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3570), + [1982] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1308), + [1984] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_character_literal, 2), + [1986] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_character_literal, 2), + [1988] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_name, 3, .production_id = 57), + [1990] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_name, 3, .production_id = 57), + [1992] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type_mark, 1), + [1994] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type_mark, 1), + [1996] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(403), + [1999] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3796), + [2001] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3514), + [2004] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_character_literal, 1), + [2006] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_character_literal, 1), + [2008] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3514), + [2010] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_context_clause_repeat1, 2), + [2012] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_context_clause_repeat1, 2), + [2014] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_context_clause_repeat1, 2), SHIFT_REPEAT(3966), + [2017] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_context_clause_repeat1, 2), SHIFT_REPEAT(5290), + [2020] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_context_clause_repeat1, 2), SHIFT_REPEAT(3898), + [2023] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_clause, 1), + [2025] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_clause, 1), + [2027] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3898), + [2029] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__primary, 1), + [2031] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__primary, 1), + [2033] = {.entry = {.count = 1, .reusable = true}}, SHIFT(538), + [2035] = {.entry = {.count = 1, .reusable = true}}, SHIFT(403), + [2037] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1899), + [2039] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3171), + [2041] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3145), + [2043] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_array_constraint, 1), + [2045] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_array_constraint, 1), + [2047] = {.entry = {.count = 1, .reusable = true}}, SHIFT(452), + [2049] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3103), + [2051] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3130), + [2053] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3549), + [2055] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1886), + [2057] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1602), + [2059] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1959), + [2061] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_attribute_name, 3, .production_id = 58), + [2063] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_attribute_name, 3, .production_id = 58), + [2065] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_attribute_name, 2, .production_id = 27), + [2067] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_attribute_name, 2, .production_id = 27), + [2069] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3577), + [2071] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1720), + [2073] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1551), + [2075] = {.entry = {.count = 1, .reusable = false}}, SHIFT(789), + [2077] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3467), + [2079] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3580), + [2081] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3495), + [2083] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1401), + [2085] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_ambiguous_name, 4, .production_id = 94), + [2087] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_ambiguous_name, 4, .production_id = 94), + [2089] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__predefined_attribute_designator, 2, .dynamic_precedence = 1, .production_id = 13), + [2091] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__predefined_attribute_designator, 2, .dynamic_precedence = 1, .production_id = 13), + [2093] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__attribute_designator, 2, .production_id = 13), + [2095] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__attribute_designator, 2, .production_id = 13), + [2097] = {.entry = {.count = 1, .reusable = false}}, SHIFT(759), + [2099] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4373), + [2101] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(211), + [2104] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), REDUCE(sym__primary, 1), + [2107] = {.entry = {.count = 1, .reusable = true}}, SHIFT(468), + [2109] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4308), + [2111] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3394), + [2114] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__predefined_designator, 1), + [2116] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__predefined_designator, 1), + [2118] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__predefined_designator, 1), REDUCE(sym__predefined_designator_with_expression, 1), + [2121] = {.entry = {.count = 1, .reusable = false}}, SHIFT(755), + [2123] = {.entry = {.count = 1, .reusable = false}}, SHIFT(812), + [2125] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3554), + [2127] = {.entry = {.count = 1, .reusable = true}}, SHIFT(211), + [2129] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4275), + [2131] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__predefined_attribute_designator_with_expression, 5, .dynamic_precedence = 2, .production_id = 172), + [2133] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__predefined_attribute_designator_with_expression, 5, .dynamic_precedence = 2, .production_id = 172), + [2135] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1288), + [2137] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_specification, 3, .production_id = 28), + [2139] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_specification, 3, .production_id = 28), + [2141] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6920), + [2143] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6919), + [2145] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1623), + [2147] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3710), + [2149] = {.entry = {.count = 1, .reusable = true}}, SHIFT(908), + [2151] = {.entry = {.count = 1, .reusable = true}}, SHIFT(901), + [2153] = {.entry = {.count = 1, .reusable = true}}, SHIFT(897), + [2155] = {.entry = {.count = 1, .reusable = true}}, SHIFT(885), + [2157] = {.entry = {.count = 1, .reusable = false}}, SHIFT(885), + [2159] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2876), + [2161] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2876), + [2163] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_external_variable_name, 6), + [2165] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_external_variable_name, 6), + [2167] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_external_signal_name, 6), + [2169] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_external_signal_name, 6), + [2171] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_external_constant_name, 6), + [2173] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_external_constant_name, 6), + [2175] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_index_constraint, 3), + [2177] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_index_constraint, 3), + [2179] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_index_constraint, 3, .dynamic_precedence = 99), + [2181] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_index_constraint, 3, .dynamic_precedence = 99), + [2183] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2415), + [2185] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_slice_name, 4, .production_id = 94), + [2187] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_slice_name, 4, .production_id = 94), + [2189] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_resolution_function, 1), + [2191] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(229), + [2194] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4324), + [2196] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_resolution_function, 1), + [2198] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_call, 4, .production_id = 93), + [2200] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_call, 4, .production_id = 93), + [2202] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_index_constraint, 4), + [2204] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_index_constraint, 4), + [2206] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_use_clause, 3), + [2208] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_use_clause, 3), + [2210] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_binding_indication, 1), + [2212] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_binding_indication, 1), + [2214] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_range_attribute_name, 3, .production_id = 65), + [2216] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_range_attribute_name, 3, .production_id = 65), + [2218] = {.entry = {.count = 1, .reusable = true}}, SHIFT(829), + [2220] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__range_designator, 1), + [2222] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__range_designator, 1), + [2224] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_binding_indication, 3), + [2226] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_binding_indication, 3), + [2228] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_use_clause, 4), + [2230] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_use_clause, 4), + [2232] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_binding_indication, 2), + [2234] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_binding_indication, 2), + [2236] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_all, 1), + [2238] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_all, 1), + [2240] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__operator_symbol, 1, .production_id = 7), + [2242] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__operator_symbol, 1, .production_id = 7), + [2244] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_reference, 3), + [2246] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_reference, 3), + [2248] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_library_clause, 3), + [2250] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_library_clause, 3), + [2252] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_binding_indication, 4), + [2254] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_binding_indication, 4), + [2256] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 9, .production_id = 285), + [2258] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 9, .production_id = 285), + [2260] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 6, .production_id = 100), + [2262] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 6, .production_id = 100), + [2264] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 11, .production_id = 315), + [2266] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 11, .production_id = 315), + [2268] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 11, .production_id = 316), + [2270] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 11, .production_id = 316), + [2272] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_template_declaration, 7, .production_id = 37), + [2274] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_group_template_declaration, 7, .production_id = 37), + [2276] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 7, .production_id = 201), + [2278] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 7, .production_id = 201), + [2280] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 7, .production_id = 200), + [2282] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 7, .production_id = 200), + [2284] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 7, .production_id = 177), + [2286] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 7, .production_id = 177), + [2288] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 7, .production_id = 199), + [2290] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 7, .production_id = 199), + [2292] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 11, .production_id = 317), + [2294] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 11, .production_id = 317), + [2296] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 7, .production_id = 198), + [2298] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 7, .production_id = 198), + [2300] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 7, .production_id = 175), + [2302] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 7, .production_id = 175), + [2304] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_attribute_specification, 7, .production_id = 197), + [2306] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_attribute_specification, 7, .production_id = 197), + [2308] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alias_declaration, 7, .production_id = 196), + [2310] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_alias_declaration, 7, .production_id = 196), + [2312] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 11, .production_id = 179), + [2314] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 11, .production_id = 179), + [2316] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 11, .production_id = 318), + [2318] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 11, .production_id = 318), + [2320] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 11, .production_id = 180), + [2322] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 11, .production_id = 180), + [2324] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 11, .production_id = 317), + [2326] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 11, .production_id = 317), + [2328] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 11, .production_id = 179), + [2330] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 11, .production_id = 179), + [2332] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 228), + [2334] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 228), + [2336] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 185), + [2338] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 185), + [2340] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_shared_variable_declaration, 7), + [2342] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_shared_variable_declaration, 7), + [2344] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signal_declaration, 7), + [2346] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_signal_declaration, 7), + [2348] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 229), + [2350] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 229), + [2352] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 11, .production_id = 318), + [2354] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 11, .production_id = 318), + [2356] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 11, .production_id = 180), + [2358] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 11, .production_id = 180), + [2360] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 11, .production_id = 319), + [2362] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 11, .production_id = 319), + [2364] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 11), + [2366] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 11), + [2368] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 6, .production_id = 134), + [2370] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 6, .production_id = 134), + [2372] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 228), + [2374] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 228), + [2376] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 185), + [2378] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 185), + [2380] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 229), + [2382] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 229), + [2384] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 230), + [2386] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 230), + [2388] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_incomplete_type_declaration, 3, .production_id = 38), + [2390] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_incomplete_type_declaration, 3, .production_id = 38), + [2392] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_incomplete_type_declaration, 3, .production_id = 37), + [2394] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_incomplete_type_declaration, 3, .production_id = 37), + [2396] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Verification_Unit_Body, 2), + [2398] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Verification_Unit_Body, 2), + [2400] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_disconnection_specification, 4), + [2402] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_disconnection_specification, 4), + [2404] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 320), + [2406] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 320), + [2408] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 7, .production_id = 192), + [2410] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 7, .production_id = 192), + [2412] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 10, .production_id = 311), + [2414] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 10, .production_id = 311), + [2416] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 7, .production_id = 142), + [2418] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 7, .production_id = 142), + [2420] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 9, .production_id = 267), + [2422] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 9, .production_id = 267), + [2424] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 7, .production_id = 177), + [2426] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 7, .production_id = 177), + [2428] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 314), + [2430] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 314), + [2432] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_assertion_statement, 7, .production_id = 108), + [2434] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_assertion_statement, 7, .production_id = 108), + [2436] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 292), + [2438] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 292), + [2440] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_return_statement, 3, .production_id = 36), + [2442] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_return_statement, 3, .production_id = 36), + [2444] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 9, .production_id = 284), + [2446] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 9, .production_id = 284), + [2448] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_for_generate_statement, 8, .production_id = 227), + [2450] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_for_generate_statement, 8, .production_id = 227), + [2452] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_template_declaration, 7, .production_id = 38), + [2454] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_group_template_declaration, 7, .production_id = 38), + [2456] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 9, .production_id = 179), + [2458] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 9, .production_id = 179), + [2460] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 6, .production_id = 133), + [2462] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 6, .production_id = 133), + [2464] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 7, .production_id = 38), + [2466] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 7, .production_id = 38), + [2468] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_instantiation_declaration, 7, .production_id = 191), + [2470] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_instantiation_declaration, 7, .production_id = 191), + [2472] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 4, .production_id = 78), + [2474] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 4, .production_id = 78), + [2476] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 9, .production_id = 266), + [2478] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 9, .production_id = 266), + [2480] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 4), + [2482] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 4), + [2484] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 4, .production_id = 79), + [2486] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 4, .production_id = 79), + [2488] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_assertion_statement, 4, .production_id = 79), + [2490] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_assertion_statement, 4, .production_id = 79), + [2492] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 8, .production_id = 226), + [2494] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 8, .production_id = 226), + [2496] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 7, .production_id = 190), + [2498] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 7, .production_id = 190), + [2500] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 6, .production_id = 38), + [2502] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 6, .production_id = 38), + [2504] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 7, .production_id = 140), + [2506] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 7, .production_id = 140), + [2508] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 7, .production_id = 175), + [2510] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 7, .production_id = 175), + [2512] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 9, .production_id = 283), + [2514] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 9, .production_id = 283), + [2516] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 7, .production_id = 37), + [2518] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 7, .production_id = 37), + [2520] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_instantiation_declaration, 7, .production_id = 189), + [2522] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_instantiation_declaration, 7, .production_id = 189), + [2524] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_body, 7, .production_id = 138), + [2526] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_body, 7, .production_id = 138), + [2528] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 9, .production_id = 134), + [2530] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 9, .production_id = 134), + [2532] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_body, 7, .production_id = 188), + [2534] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_body, 7, .production_id = 188), + [2536] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 252), + [2538] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 252), + [2540] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2216), + [2542] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 187), + [2544] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 187), + [2546] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 9, .production_id = 252), + [2548] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 9, .production_id = 252), + [2550] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 8, .production_id = 180), + [2552] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 8, .production_id = 180), + [2554] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 137), + [2556] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 137), + [2558] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 321), + [2560] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 321), + [2562] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 308), + [2564] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 308), + [2566] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 313), + [2568] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 313), + [2570] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 6, .production_id = 132), + [2572] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 6, .production_id = 132), + [2574] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 9, .production_id = 265), + [2576] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 9, .production_id = 265), + [2578] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 186), + [2580] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 186), + [2582] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 136), + [2584] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 136), + [2586] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 187), + [2588] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 187), + [2590] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 9, .production_id = 38), + [2592] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 9, .production_id = 38), + [2594] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 7, .production_id = 215), + [2596] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 7, .production_id = 215), + [2598] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 261), + [2600] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 261), + [2602] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 137), + [2604] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 137), + [2606] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 312), + [2608] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 312), + [2610] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 322), + [2612] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 322), + [2614] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 283), + [2616] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 283), + [2618] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 11, .production_id = 289), + [2620] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 11, .production_id = 289), + [2622] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_force_assignment, 11, .production_id = 289), + [2624] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_force_assignment, 11, .production_id = 289), + [2626] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 6, .production_id = 131), + [2628] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 6, .production_id = 131), + [2630] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 304), + [2632] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 304), + [2634] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 11, .production_id = 323), + [2636] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 11, .production_id = 323), + [2638] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 324), + [2640] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 324), + [2642] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 325), + [2644] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 325), + [2646] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 9, .production_id = 282), + [2648] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 9, .production_id = 282), + [2650] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 231), + [2652] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 231), + [2654] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 292), + [2656] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 292), + [2658] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 9, .production_id = 264), + [2660] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 9, .production_id = 264), + [2662] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 326), + [2664] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 326), + [2666] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 230), + [2668] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 230), + [2670] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 327), + [2672] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 327), + [2674] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 11, .production_id = 314), + [2676] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 11, .production_id = 314), + [2678] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 12, .production_id = 328), + [2680] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 12, .production_id = 328), + [2682] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 231), + [2684] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 231), + [2686] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_body, 8, .production_id = 138), + [2688] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_body, 8, .production_id = 138), + [2690] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 186), + [2692] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 186), + [2694] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 12, .production_id = 329), + [2696] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 12, .production_id = 329), + [2698] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 136), + [2700] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 136), + [2702] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 7, .production_id = 214), + [2704] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 7, .production_id = 214), + [2706] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_specification, 6, .production_id = 28), + [2708] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_specification, 6, .production_id = 28), + [2710] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 6, .production_id = 37), + [2712] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 6, .production_id = 37), + [2714] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 185), + [2716] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 185), + [2718] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 12, .production_id = 328), + [2720] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 12, .production_id = 328), + [2722] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 184), + [2724] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 7, .production_id = 184), + [2726] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 12, .production_id = 329), + [2728] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 12, .production_id = 329), + [2730] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_assertion_statement, 4, .production_id = 22), + [2732] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_assertion_statement, 4, .production_id = 22), + [2734] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 185), + [2736] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 185), + [2738] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 12, .production_id = 330), + [2740] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 12, .production_id = 330), + [2742] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 184), + [2744] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 7, .production_id = 184), + [2746] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_range_attribute_name, 6, .production_id = 183), + [2748] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_range_attribute_name, 6, .production_id = 183), + [2750] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_record_constraint, 4), + [2752] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_record_constraint, 4), + [2754] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 9, .production_id = 279), + [2756] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 9, .production_id = 279), + [2758] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 9, .production_id = 286), + [2760] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 9, .production_id = 286), + [2762] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 12), + [2764] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 12), + [2766] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 10, .production_id = 260), + [2768] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 10, .production_id = 260), + [2770] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_for_generate_statement, 7), + [2772] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_for_generate_statement, 7), + [2774] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_for_generate_statement, 7, .production_id = 182), + [2776] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_for_generate_statement, 7, .production_id = 182), + [2778] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 12, .production_id = 331), + [2780] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 12, .production_id = 331), + [2782] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 12, .production_id = 332), + [2784] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 12, .production_id = 332), + [2786] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assert_Directive, 4), + [2788] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assert_Directive, 4), + [2790] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_specification, 7, .production_id = 28), + [2792] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_specification, 7, .production_id = 28), + [2794] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 12, .production_id = 308), + [2796] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 12, .production_id = 308), + [2798] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_for_generate_statement, 9, .production_id = 277), + [2800] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_for_generate_statement, 9, .production_id = 277), + [2802] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 12, .production_id = 333), + [2804] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 12, .production_id = 333), + [2806] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 12, .production_id = 334), + [2808] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 12, .production_id = 334), + [2810] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 9, .production_id = 132), + [2812] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 9, .production_id = 132), + [2814] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 7, .production_id = 180), + [2816] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 7, .production_id = 180), + [2818] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 12, .production_id = 292), + [2820] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 12, .production_id = 292), + [2822] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_body, 8, .production_id = 232), + [2824] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_body, 8, .production_id = 232), + [2826] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 12, .production_id = 335), + [2828] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 12, .production_id = 335), + [2830] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 12, .production_id = 326), + [2832] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 12, .production_id = 326), + [2834] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 8, .production_id = 221), + [2836] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 8, .production_id = 221), + [2838] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 7, .production_id = 179), + [2840] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 7, .production_id = 179), + [2842] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 8, .production_id = 233), + [2844] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 8, .production_id = 233), + [2846] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 8, .production_id = 140), + [2848] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 8, .production_id = 140), + [2850] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_loop_statement, 4), + [2852] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_loop_statement, 4), + [2854] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 12, .production_id = 336), + [2856] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 12, .production_id = 336), + [2858] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_force_assignment, 10, .production_id = 259), + [2860] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_force_assignment, 10, .production_id = 259), + [2862] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_next_statement, 4, .production_id = 51), + [2864] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_next_statement, 4, .production_id = 51), + [2866] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exit_statement, 4, .production_id = 51), + [2868] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_exit_statement, 4, .production_id = 51), + [2870] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 12, .production_id = 314), + [2872] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 12, .production_id = 314), + [2874] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 8, .production_id = 223), + [2876] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 8, .production_id = 223), + [2878] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 8, .production_id = 234), + [2880] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 8, .production_id = 234), + [2882] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 8, .production_id = 142), + [2884] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 8, .production_id = 142), + [2886] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Verification_Unit_Body, 5), + [2888] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Verification_Unit_Body, 5), + [2890] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 7, .production_id = 178), + [2892] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 7, .production_id = 178), + [2894] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_waveform_assignment, 5, .production_id = 130), + [2896] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_waveform_assignment, 5, .production_id = 130), + [2898] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_waveform_assignment, 5, .production_id = 130), + [2900] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_waveform_assignment, 5, .production_id = 130), + [2902] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 10, .production_id = 259), + [2904] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 10, .production_id = 259), + [2906] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 10, .production_id = 289), + [2908] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 10, .production_id = 289), + [2910] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_force_assignment, 10, .production_id = 289), + [2912] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_force_assignment, 10, .production_id = 289), + [2914] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 10, .production_id = 310), + [2916] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 10, .production_id = 310), + [2918] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 13, .production_id = 337), + [2920] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 13, .production_id = 337), + [2922] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 10, .production_id = 310), + [2924] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 10, .production_id = 310), + [2926] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 13), + [2928] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 13), + [2930] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 7), + [2932] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 7), + [2934] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 283), + [2936] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 283), + [2938] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 184), + [2940] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 8, .production_id = 184), + [2942] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 7, .production_id = 134), + [2944] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 7, .production_id = 134), + [2946] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 7, .production_id = 182), + [2948] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 7, .production_id = 182), + [2950] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 13, .production_id = 338), + [2952] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 13, .production_id = 338), + [2954] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 13, .production_id = 339), + [2956] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 13, .production_id = 339), + [2958] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 309), + [2960] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 309), + [2962] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_bit_string_literal, 2), + [2964] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_bit_string_literal, 2), + [2966] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 7, .production_id = 177), + [2968] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 7, .production_id = 177), + [2970] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate_statement, 7, .production_id = 182), + [2972] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_generate_statement, 7, .production_id = 182), + [2974] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 252), + [2976] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 252), + [2978] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 307), + [2980] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 307), + [2982] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 4, .production_id = 49), + [2984] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 4, .production_id = 49), + [2986] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 4), + [2988] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 4), + [2990] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 13, .production_id = 340), + [2992] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 13, .production_id = 340), + [2994] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_VUnit, 3, .production_id = 39), + [2996] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_VUnit, 3, .production_id = 39), + [2998] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Restrict_Guarantee_Directive, 4), + [3000] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Restrict_Guarantee_Directive, 4), + [3002] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Cover_Directive, 4), + [3004] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Cover_Directive, 4), + [3006] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_loop_statement, 7, .production_id = 182), + [3008] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_loop_statement, 7, .production_id = 182), + [3010] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 308), + [3012] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 308), + [3014] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_call_statement, 7, .production_id = 99), + [3016] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_call_statement, 7, .production_id = 99), + [3018] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 9, .production_id = 207), + [3020] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 9, .production_id = 207), + [3022] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 9, .production_id = 281), + [3024] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 9, .production_id = 281), + [3026] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_release_assignment, 5, .production_id = 130), + [3028] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_release_assignment, 5, .production_id = 130), + [3030] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_allocator, 2), + [3032] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_allocator, 2), + [3034] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 9, .production_id = 277), + [3036] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 9, .production_id = 277), + [3038] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 9, .production_id = 280), + [3040] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 9, .production_id = 280), + [3042] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 9, .production_id = 64), + [3044] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 9, .production_id = 64), + [3046] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 7, .production_id = 38), + [3048] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 7, .production_id = 38), + [3050] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_variable_assignment, 7, .production_id = 205), + [3052] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_variable_assignment, 7, .production_id = 205), + [3054] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 7, .production_id = 176), + [3056] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 7, .production_id = 176), + [3058] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 10, .production_id = 180), + [3060] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 10, .production_id = 180), + [3062] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alias_declaration, 8, .production_id = 196), + [3064] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_alias_declaration, 8, .production_id = 196), + [3066] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 13, .production_id = 326), + [3068] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 13, .production_id = 326), + [3070] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 13, .production_id = 341), + [3072] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 13, .production_id = 341), + [3074] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_variable_assignment, 4, .production_id = 95), + [3076] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_variable_assignment, 4, .production_id = 95), + [3078] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 7, .production_id = 132), + [3080] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 7, .production_id = 132), + [3082] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_variable_assignment, 4, .production_id = 96), + [3084] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_variable_assignment, 4, .production_id = 96), + [3086] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 7, .production_id = 175), + [3088] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 7, .production_id = 175), + [3090] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 10, .production_id = 306), + [3092] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 10, .production_id = 306), + [3094] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 9, .production_id = 248), + [3096] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 9, .production_id = 248), + [3098] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 4, .production_id = 96), + [3100] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 4, .production_id = 96), + [3102] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 14, .production_id = 342), + [3104] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 14, .production_id = 342), + [3106] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 7, .production_id = 205), + [3108] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 7, .production_id = 205), + [3110] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 7, .production_id = 64), + [3112] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 7, .production_id = 64), + [3114] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_release_assignment, 4, .production_id = 96), + [3116] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_release_assignment, 4, .production_id = 96), + [3118] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 10, .production_id = 305), + [3120] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 10, .production_id = 305), + [3122] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 14), + [3124] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 14), + [3126] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 7, .production_id = 37), + [3128] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 7, .production_id = 37), + [3130] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_design_unit, 2), + [3132] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_design_unit, 2), + [3134] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_force_assignment, 10, .production_id = 248), + [3136] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_force_assignment, 10, .production_id = 248), + [3138] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 14, .production_id = 343), + [3140] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 14, .production_id = 343), + [3142] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_waveform_assignment, 4, .production_id = 96), + [3144] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_waveform_assignment, 4, .production_id = 96), + [3146] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 15, .production_id = 344), + [3148] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 15, .production_id = 344), + [3150] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 10, .production_id = 248), + [3152] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 10, .production_id = 248), + [3154] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_waveform_assignment, 6, .production_id = 130), + [3156] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_waveform_assignment, 6, .production_id = 130), + [3158] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_waveform_assignment, 4, .production_id = 96), + [3160] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_waveform_assignment, 4, .production_id = 96), + [3162] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_statement, 4), + [3164] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_statement, 4), + [3166] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 7, .production_id = 36), + [3168] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 7, .production_id = 36), + [3170] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 10), + [3172] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 10), + [3174] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_waveform_assignment, 6, .production_id = 130), + [3176] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_waveform_assignment, 6, .production_id = 130), + [3178] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 7, .production_id = 206), + [3180] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 7, .production_id = 206), + [3182] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_qualified_expression, 3), + [3184] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_qualified_expression, 3), + [3186] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate_statement, 4), + [3188] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_generate_statement, 4), + [3190] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_release_assignment, 6, .production_id = 130), + [3192] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_release_assignment, 6, .production_id = 130), + [3194] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 6, .production_id = 130), + [3196] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 6, .production_id = 130), + [3198] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_qualified_expression, 3, .production_id = 64), + [3200] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_qualified_expression, 3, .production_id = 64), + [3202] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 10, .production_id = 304), + [3204] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 10, .production_id = 304), + [3206] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 6, .production_id = 174), + [3208] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 6, .production_id = 174), + [3210] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_generate_statement, 7, .production_id = 206), + [3212] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_generate_statement, 7, .production_id = 206), + [3214] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_return_statement, 4, .production_id = 64), + [3216] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_return_statement, 4, .production_id = 64), + [3218] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 9, .production_id = 263), + [3220] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 9, .production_id = 263), + [3222] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 5, .production_id = 130), + [3224] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 5, .production_id = 130), + [3226] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_waveform_assignment, 7, .production_id = 130), + [3228] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_waveform_assignment, 7, .production_id = 130), + [3230] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 9, .production_id = 287), + [3232] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 9, .production_id = 287), + [3234] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_subtype_indication, 2), + [3236] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_subtype_indication, 2), + [3238] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_call_statement, 4, .production_id = 99), + [3240] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_call_statement, 4, .production_id = 99), + [3242] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 8, .production_id = 225), + [3244] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 8, .production_id = 225), + [3246] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_next_statement, 4), + [3248] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_next_statement, 4), + [3250] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_next_statement, 4, .production_id = 101), + [3252] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_next_statement, 4, .production_id = 101), + [3254] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 8, .production_id = 179), + [3256] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 8, .production_id = 179), + [3258] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exit_statement, 4), + [3260] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_exit_statement, 4), + [3262] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exit_statement, 4, .production_id = 101), + [3264] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_exit_statement, 4, .production_id = 101), + [3266] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 8, .production_id = 236), + [3268] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 8, .production_id = 236), + [3270] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 8, .production_id = 237), + [3272] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 8, .production_id = 237), + [3274] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assume_Directive, 4, .production_id = 100), + [3276] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assume_Directive, 4, .production_id = 100), + [3278] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assume_Directive, 4), + [3280] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assume_Directive, 4), + [3282] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 4, .production_id = 100), + [3284] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 4, .production_id = 100), + [3286] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_declaration, 8, .production_id = 238), + [3288] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_group_declaration, 8, .production_id = 238), + [3290] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_declaration, 8, .production_id = 239), + [3292] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_group_declaration, 8, .production_id = 239), + [3294] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Restrict_Directive, 4), + [3296] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Restrict_Directive, 4), + [3298] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Property_Declaration, 8, .production_id = 240), + [3300] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Property_Declaration, 8, .production_id = 240), + [3302] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 9, .production_id = 285), + [3304] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 9, .production_id = 285), + [3306] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Fairness_Directive, 4, .production_id = 100), + [3308] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Fairness_Directive, 4, .production_id = 100), + [3310] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Fairness_Directive, 4), + [3312] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Fairness_Directive, 4), + [3314] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_generate_statement, 7, .production_id = 36), + [3316] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_generate_statement, 7, .production_id = 36), + [3318] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_instantiation_statement, 4, .production_id = 102), + [3320] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_instantiation_statement, 4, .production_id = 102), + [3322] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_report_statement, 4), + [3324] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_report_statement, 4), + [3326] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Property_Declaration, 8, .production_id = 39), + [3328] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Property_Declaration, 8, .production_id = 39), + [3330] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 8, .production_id = 180), + [3332] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 8, .production_id = 180), + [3334] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_report_statement, 2), + [3336] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_report_statement, 2), + [3338] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_PSL_Property_Declaration, 8, .production_id = 39), REDUCE(sym_PSL_Property_Declaration, 8, .dynamic_precedence = 3, .production_id = 39), + [3341] = {.entry = {.count = 2, .reusable = false}}, REDUCE(sym_PSL_Property_Declaration, 8, .production_id = 39), REDUCE(sym_PSL_Property_Declaration, 8, .dynamic_precedence = 3, .production_id = 39), + [3344] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Sequence_Declaration, 8, .production_id = 39), + [3346] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Sequence_Declaration, 8, .production_id = 39), + [3348] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_force_assignment, 9, .production_id = 205), + [3350] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_force_assignment, 9, .production_id = 205), + [3352] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exit_statement, 3, .production_id = 51), + [3354] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_exit_statement, 3, .production_id = 51), + [3356] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Verification_Unit_Body, 6), + [3358] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Verification_Unit_Body, 6), + [3360] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 8, .production_id = 245), + [3362] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 8, .production_id = 245), + [3364] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 8), + [3366] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 8), + [3368] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 9, .production_id = 37), + [3370] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 9, .production_id = 37), + [3372] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate_statement, 8, .production_id = 227), + [3374] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_generate_statement, 8, .production_id = 227), + [3376] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_variable_assignment, 5, .production_id = 130), + [3378] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_variable_assignment, 5, .production_id = 130), + [3380] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 5, .production_id = 37), + [3382] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 5, .production_id = 37), + [3384] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_parenthesized_expression, 3), + [3386] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parenthesized_expression, 3), + [3388] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 8, .production_id = 227), + [3390] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 8, .production_id = 227), + [3392] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 9, .production_id = 205), + [3394] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 9, .production_id = 205), + [3396] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_variable_assignment, 5, .production_id = 129), + [3398] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_variable_assignment, 5, .production_id = 129), + [3400] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 8, .production_id = 179), + [3402] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 8, .production_id = 179), + [3404] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 7, .production_id = 182), + [3406] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 7, .production_id = 182), + [3408] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 5, .production_id = 38), + [3410] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 5, .production_id = 38), + [3412] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 7), + [3414] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 7), + [3416] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_force_assignment, 9, .production_id = 248), + [3418] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_force_assignment, 9, .production_id = 248), + [3420] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_variable_assignment, 8, .production_id = 248), + [3422] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_variable_assignment, 8, .production_id = 248), + [3424] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_call_statement, 3, .production_id = 43), + [3426] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_call_statement, 3, .production_id = 43), + [3428] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_loop_statement, 8, .production_id = 227), + [3430] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_loop_statement, 8, .production_id = 227), + [3432] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_statement, 8, .production_id = 227), + [3434] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_statement, 8, .production_id = 227), + [3436] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_call_statement, 2, .production_id = 26), + [3438] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_call_statement, 2, .production_id = 26), + [3440] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_instantiation_statement, 2), + [3442] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_instantiation_statement, 2), + [3444] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_declaration, 2, .production_id = 25), + [3446] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_declaration, 2, .production_id = 25), + [3448] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_body, 10, .production_id = 300), + [3450] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_body, 10, .production_id = 300), + [3452] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_declaration, 2, .production_id = 25), + [3454] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_declaration, 2, .production_id = 25), + [3456] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 7, .production_id = 207), + [3458] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 7, .production_id = 207), + [3460] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_assertion_statement, 6, .production_id = 108), + [3462] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_assertion_statement, 6, .production_id = 108), + [3464] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Cover_Directive, 5), + [3466] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Cover_Directive, 5), + [3468] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 10, .production_id = 298), + [3470] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 10, .production_id = 298), + [3472] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Restrict_Guarantee_Directive, 5), + [3474] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Restrict_Guarantee_Directive, 5), + [3476] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 5), + [3478] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 5), + [3480] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate_statement, 6), + [3482] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_generate_statement, 6), + [3484] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate_statement, 6, .production_id = 166), + [3486] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_generate_statement, 6, .production_id = 166), + [3488] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 8, .production_id = 221), + [3490] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 8, .production_id = 221), + [3492] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 5, .production_id = 100), + [3494] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 5, .production_id = 100), + [3496] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 8, .production_id = 224), + [3498] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 8, .production_id = 224), + [3500] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_loop_statement, 6), + [3502] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_loop_statement, 6), + [3504] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_statement, 6), + [3506] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_statement, 6), + [3508] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 8, .production_id = 248), + [3510] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 8, .production_id = 248), + [3512] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_statement, 6, .production_id = 166), + [3514] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_statement, 6, .production_id = 166), + [3516] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_subtype_indication, 3), + [3518] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_subtype_indication, 3), + [3520] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_force_assignment, 6, .production_id = 96), + [3522] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_force_assignment, 6, .production_id = 96), + [3524] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 6, .production_id = 173), + [3526] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 6, .production_id = 173), + [3528] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_waveform_assignment, 6, .production_id = 96), + [3530] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_waveform_assignment, 6, .production_id = 96), + [3532] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_range_constraint, 2), + [3534] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_range_constraint, 2), + [3536] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__array_element_constraint, 1, .production_id = 105), + [3538] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__array_element_constraint, 1, .production_id = 105), + [3540] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_array_constraint, 2), + [3542] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_array_constraint, 2), + [3544] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 8, .production_id = 251), + [3546] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 8, .production_id = 251), + [3548] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 8, .production_id = 205), + [3550] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 8, .production_id = 205), + [3552] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_waveform_assignment, 6, .production_id = 96), + [3554] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_waveform_assignment, 6, .production_id = 96), + [3556] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_force_assignment, 8, .production_id = 205), + [3558] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_force_assignment, 8, .production_id = 205), + [3560] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_release_assignment, 6, .production_id = 96), + [3562] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_release_assignment, 6, .production_id = 96), + [3564] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 6, .production_id = 96), + [3566] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 6, .production_id = 96), + [3568] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 8, .production_id = 262), + [3570] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 8, .production_id = 262), + [3572] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 8, .production_id = 134), + [3574] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 8, .production_id = 134), + [3576] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 9), + [3578] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 9), + [3580] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exit_statement, 3), + [3582] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_exit_statement, 3), + [3584] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 8, .production_id = 223), + [3586] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 8, .production_id = 223), + [3588] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 10, .production_id = 297), + [3590] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 10, .production_id = 297), + [3592] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 8, .production_id = 64), + [3594] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 8, .production_id = 64), + [3596] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 8, .production_id = 249), + [3598] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 8, .production_id = 249), + [3600] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 6, .production_id = 25), + [3602] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 6, .production_id = 25), + [3604] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 8, .production_id = 38), + [3606] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 8, .production_id = 38), + [3608] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 6, .production_id = 171), + [3610] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 6, .production_id = 171), + [3612] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 6, .production_id = 170), + [3614] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 6, .production_id = 170), + [3616] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 8, .production_id = 261), + [3618] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 8, .production_id = 261), + [3620] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_generate_statement, 8, .production_id = 64), + [3622] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_generate_statement, 8, .production_id = 64), + [3624] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exit_statement, 5, .production_id = 101), + [3626] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_exit_statement, 5, .production_id = 101), + [3628] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 9, .production_id = 277), + [3630] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 9, .production_id = 277), + [3632] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_next_statement, 5, .production_id = 101), + [3634] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_next_statement, 5, .production_id = 101), + [3636] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_next_statement, 3, .production_id = 51), + [3638] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_next_statement, 3, .production_id = 51), + [3640] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 8, .production_id = 250), + [3642] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 8, .production_id = 250), + [3644] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 8, .production_id = 36), + [3646] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 8, .production_id = 36), + [3648] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 6, .production_id = 25), + [3650] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 6, .production_id = 25), + [3652] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_next_statement, 3), + [3654] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_next_statement, 3), + [3656] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_generate_statement, 8, .production_id = 250), + [3658] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_generate_statement, 8, .production_id = 250), + [3660] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 8, .production_id = 227), + [3662] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 8, .production_id = 227), + [3664] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 6, .production_id = 171), + [3666] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 6, .production_id = 171), + [3668] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 6, .production_id = 170), + [3670] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 6, .production_id = 170), + [3672] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 8), + [3674] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 8), + [3676] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 8, .production_id = 254), + [3678] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 8, .production_id = 254), + [3680] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 8, .production_id = 207), + [3682] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 8, .production_id = 207), + [3684] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assert_Directive, 5), + [3686] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assert_Directive, 5), + [3688] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_assertion_statement, 5, .production_id = 79), + [3690] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_assertion_statement, 5, .production_id = 79), + [3692] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 6), + [3694] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 6), + [3696] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Verification_Unit_Body, 7), + [3698] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Verification_Unit_Body, 7), + [3700] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 9, .production_id = 287), + [3702] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 9, .production_id = 287), + [3704] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 6, .production_id = 169), + [3706] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 6, .production_id = 169), + [3708] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 184), + [3710] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 8, .production_id = 184), + [3712] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 6, .production_id = 168), + [3714] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 6, .production_id = 168), + [3716] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exit_statement, 2), + [3718] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_exit_statement, 2), + [3720] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 6, .production_id = 133), + [3722] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 6, .production_id = 133), + [3724] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 6, .production_id = 38), + [3726] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 6, .production_id = 38), + [3728] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 6, .production_id = 131), + [3730] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 6, .production_id = 131), + [3732] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 6, .production_id = 37), + [3734] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 6, .production_id = 37), + [3736] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 6, .production_id = 166), + [3738] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 6, .production_id = 166), + [3740] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 7, .production_id = 208), + [3742] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 7, .production_id = 208), + [3744] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_next_statement, 2), + [3746] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_next_statement, 2), + [3748] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 6, .production_id = 167), + [3750] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 6, .production_id = 167), + [3752] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 5, .production_id = 37), + [3754] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 5, .production_id = 37), + [3756] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 9, .production_id = 273), + [3758] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 9, .production_id = 273), + [3760] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 8, .production_id = 222), + [3762] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 8, .production_id = 222), + [3764] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 7, .production_id = 167), + [3766] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 7, .production_id = 167), + [3768] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 9, .production_id = 286), + [3770] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 9, .production_id = 286), + [3772] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 9, .production_id = 272), + [3774] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 9, .production_id = 272), + [3776] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 5, .production_id = 38), + [3778] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 5, .production_id = 38), + [3780] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 8, .production_id = 132), + [3782] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 8, .production_id = 132), + [3784] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 8, .production_id = 252), + [3786] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 8, .production_id = 252), + [3788] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_body, 9, .production_id = 138), + [3790] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_body, 9, .production_id = 138), + [3792] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_statement, 7, .production_id = 182), + [3794] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_statement, 7, .production_id = 182), + [3796] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 6, .production_id = 166), + [3798] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 6, .production_id = 166), + [3800] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_body, 9, .production_id = 271), + [3802] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_body, 9, .production_id = 271), + [3804] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_instantiation_statement, 3), + [3806] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_instantiation_statement, 3), + [3808] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 9, .production_id = 270), + [3810] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 9, .production_id = 270), + [3812] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 7, .production_id = 213), + [3814] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 7, .production_id = 213), + [3816] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 6), + [3818] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 6), + [3820] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_loop_statement, 6, .production_id = 166), + [3822] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_loop_statement, 6, .production_id = 166), + [3824] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 10, .production_id = 179), + [3826] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 10, .production_id = 179), + [3828] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assume_Directive, 3, .production_id = 49), + [3830] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assume_Directive, 3, .production_id = 49), + [3832] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 7, .production_id = 175), + [3834] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 7, .production_id = 175), + [3836] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 7, .production_id = 37), + [3838] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 7, .production_id = 37), + [3840] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_generate_statement, 6, .production_id = 36), + [3842] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_generate_statement, 6, .production_id = 36), + [3844] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_full_type_declaration, 5, .production_id = 37), + [3846] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_full_type_declaration, 5, .production_id = 37), + [3848] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_full_type_declaration, 5, .production_id = 38), + [3850] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_full_type_declaration, 5, .production_id = 38), + [3852] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 8, .production_id = 253), + [3854] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 8, .production_id = 253), + [3856] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_file_declaration, 5), + [3858] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_file_declaration, 5), + [3860] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 8, .production_id = 167), + [3862] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 8, .production_id = 167), + [3864] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 7, .production_id = 177), + [3866] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 7, .production_id = 177), + [3868] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_subtype_declaration, 5, .production_id = 37), + [3870] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_subtype_declaration, 5, .production_id = 37), + [3872] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_subtype_declaration, 5, .production_id = 38), + [3874] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_subtype_declaration, 5, .production_id = 38), + [3876] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_constant_declaration, 5), + [3878] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_constant_declaration, 5), + [3880] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 7, .production_id = 38), + [3882] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 7, .production_id = 38), + [3884] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 10, .production_id = 298), + [3886] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 10, .production_id = 298), + [3888] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signal_declaration, 5), + [3890] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_signal_declaration, 5), + [3892] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 7, .production_id = 209), + [3894] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 7, .production_id = 209), + [3896] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 10, .production_id = 180), + [3898] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 10, .production_id = 180), + [3900] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_variable_declaration, 5), + [3902] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_variable_declaration, 5), + [3904] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 6, .production_id = 36), + [3906] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 6, .production_id = 36), + [3908] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 8, .production_id = 37), + [3910] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 8, .production_id = 37), + [3912] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Clock_Declaration, 5, .production_id = 108), + [3914] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Clock_Declaration, 5, .production_id = 108), + [3916] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Clock_Declaration, 5), + [3918] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Clock_Declaration, 5), + [3920] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alias_declaration, 5, .production_id = 109), + [3922] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_alias_declaration, 5, .production_id = 109), + [3924] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_loop_statement, 7), + [3926] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_loop_statement, 7), + [3928] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate_statement, 7), + [3930] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_generate_statement, 7), + [3932] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 8, .production_id = 221), + [3934] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 8, .production_id = 221), + [3936] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 8, .production_id = 223), + [3938] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 8, .production_id = 223), + [3940] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_assertion_statement, 5, .production_id = 108), + [3942] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_assertion_statement, 5, .production_id = 108), + [3944] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assume_Directive, 3), + [3946] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assume_Directive, 3), + [3948] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 7, .production_id = 210), + [3950] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 7, .production_id = 210), + [3952] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_aggregate, 3), + [3954] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_aggregate, 3), + [3956] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 5, .production_id = 108), + [3958] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 5, .production_id = 108), + [3960] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 3, .production_id = 49), + [3962] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 3, .production_id = 49), + [3964] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_attribute_declaration, 5, .production_id = 37), + [3966] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_attribute_declaration, 5, .production_id = 37), + [3968] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_attribute_declaration, 5, .production_id = 38), + [3970] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_attribute_declaration, 5, .production_id = 38), + [3972] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_generate_statement, 7, .production_id = 64), + [3974] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_generate_statement, 7, .production_id = 64), + [3976] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 7, .production_id = 209), + [3978] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 7, .production_id = 209), + [3980] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 5), + [3982] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 5), + [3984] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 5, .production_id = 128), + [3986] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 5, .production_id = 128), + [3988] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 3), + [3990] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assume_Guarantee_Directive, 3), + [3992] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_for_generate_statement, 6), + [3994] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_for_generate_statement, 6), + [3996] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 5, .production_id = 37), + [3998] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 5, .production_id = 37), + [4000] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_generate_statement, 8, .production_id = 249), + [4002] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_generate_statement, 8, .production_id = 249), + [4004] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate_statement, 5), + [4006] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_generate_statement, 5), + [4008] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 9, .production_id = 288), + [4010] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 9, .production_id = 288), + [4012] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 3), + [4014] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 3), + [4016] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 5, .production_id = 38), + [4018] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 5, .production_id = 38), + [4020] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_statement, 3), + [4022] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_null_statement, 3), + [4024] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 8, .production_id = 255), + [4026] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 8, .production_id = 255), + [4028] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_statement, 7), + [4030] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_statement, 7), + [4032] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 2), + [4034] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 2), + [4036] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_statement, 2), + [4038] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_null_statement, 2), + [4040] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_return_statement, 3), + [4042] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_return_statement, 3), + [4044] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Property_Declaration, 5, .production_id = 110), + [4046] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Property_Declaration, 5, .production_id = 110), + [4048] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Property_Declaration, 5, .production_id = 39), + [4050] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Property_Declaration, 5, .production_id = 39), + [4052] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_PSL_Property_Declaration, 5, .production_id = 39), REDUCE(sym_PSL_Property_Declaration, 5, .dynamic_precedence = 3, .production_id = 39), + [4055] = {.entry = {.count = 2, .reusable = false}}, REDUCE(sym_PSL_Property_Declaration, 5, .production_id = 39), REDUCE(sym_PSL_Property_Declaration, 5, .dynamic_precedence = 3, .production_id = 39), + [4058] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate_statement, 5, .production_id = 121), + [4060] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_generate_statement, 5, .production_id = 121), + [4062] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2228), + [4064] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Restrict_Directive, 3), + [4066] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Restrict_Directive, 3), + [4068] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 10, .production_id = 297), + [4070] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 10, .production_id = 297), + [4072] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_statement, 5), + [4074] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_statement, 5), + [4076] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Restrict_Guarantee_Directive, 3), + [4078] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Restrict_Guarantee_Directive, 3), + [4080] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_statement, 5, .production_id = 121), + [4082] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_if_statement, 5, .production_id = 121), + [4084] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Sequence_Declaration, 5, .production_id = 39), + [4086] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Sequence_Declaration, 5, .production_id = 39), + [4088] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_variable_assignment, 9, .production_id = 289), + [4090] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_variable_assignment, 9, .production_id = 289), + [4092] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 8, .production_id = 260), + [4094] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 8, .production_id = 260), + [4096] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_waveform_assignment, 5, .production_id = 96), + [4098] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_waveform_assignment, 5, .production_id = 96), + [4100] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_waveform_assignment, 5, .production_id = 96), + [4102] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_waveform_assignment, 5, .production_id = 96), + [4104] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_release_assignment, 5, .production_id = 96), + [4106] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_release_assignment, 5, .production_id = 96), + [4108] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_force_assignment, 5, .production_id = 96), + [4110] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_force_assignment, 5, .production_id = 96), + [4112] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Cover_Directive, 3), + [4114] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Cover_Directive, 3), + [4116] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 8, .production_id = 256), + [4118] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 8, .production_id = 256), + [4120] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 10, .production_id = 179), + [4122] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 10, .production_id = 179), + [4124] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Verification_Unit_Body, 3), + [4126] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Verification_Unit_Body, 3), + [4128] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 10, .production_id = 296), + [4130] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 10, .production_id = 296), + [4132] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_assertion_statement, 3, .production_id = 22), + [4134] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_assertion_statement, 3, .production_id = 22), + [4136] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 7, .production_id = 211), + [4138] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 7, .production_id = 211), + [4140] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 10, .production_id = 134), + [4142] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 10, .production_id = 134), + [4144] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 8, .production_id = 259), + [4146] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 8, .production_id = 259), + [4148] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 7, .production_id = 220), + [4150] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 7, .production_id = 220), + [4152] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 7, .production_id = 212), + [4154] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 7, .production_id = 212), + [4156] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_call_statement, 6, .production_id = 43), + [4158] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_call_statement, 6, .production_id = 43), + [4160] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_waveform_assignment, 7, .production_id = 130), + [4162] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_waveform_assignment, 7, .production_id = 130), + [4164] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 10, .production_id = 295), + [4166] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 10, .production_id = 295), + [4168] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 10, .production_id = 294), + [4170] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 10, .production_id = 294), + [4172] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 9, .production_id = 268), + [4174] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 9, .production_id = 268), + [4176] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 6), + [4178] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 6), + [4180] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 10, .production_id = 132), + [4182] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 10, .production_id = 132), + [4184] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 5, .production_id = 96), + [4186] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 5, .production_id = 96), + [4188] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_assertion_statement, 6, .production_id = 79), + [4190] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_assertion_statement, 6, .production_id = 79), + [4192] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 6, .production_id = 153), + [4194] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 6, .production_id = 153), + [4196] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 6, .production_id = 152), + [4198] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 6, .production_id = 152), + [4200] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 6, .production_id = 151), + [4202] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 6, .production_id = 151), + [4204] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 5, .production_id = 127), + [4206] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 5, .production_id = 127), + [4208] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 7, .production_id = 25), + [4210] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 7, .production_id = 25), + [4212] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Verification_Unit_Body, 4), + [4214] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Verification_Unit_Body, 4), + [4216] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_release_assignment, 7, .production_id = 130), + [4218] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_release_assignment, 7, .production_id = 130), + [4220] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assert_Directive, 3), + [4222] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assert_Directive, 3), + [4224] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_declaration, 10, .production_id = 293), + [4226] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_entity_declaration, 10, .production_id = 293), + [4228] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 9, .production_id = 292), + [4230] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 9, .production_id = 292), + [4232] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_variable_assignment, 8, .production_id = 259), + [4234] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_variable_assignment, 8, .production_id = 259), + [4236] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 7, .production_id = 25), + [4238] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 7, .production_id = 25), + [4240] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Fairness_Directive, 3, .production_id = 49), + [4242] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Fairness_Directive, 3, .production_id = 49), + [4244] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_return_statement, 2), + [4246] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_return_statement, 2), + [4248] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_report_statement, 3), + [4250] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_report_statement, 3), + [4252] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Fairness_Directive, 3), + [4254] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Fairness_Directive, 3), + [4256] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 7, .production_id = 212), + [4258] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 7, .production_id = 212), + [4260] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_for_generate_statement, 8), + [4262] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_for_generate_statement, 8), + [4264] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_VProp, 3, .production_id = 39), + [4266] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_VProp, 3, .production_id = 39), + [4268] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_record_constraint, 3), + [4270] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_record_constraint, 3), + [4272] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 9, .production_id = 259), + [4274] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 9, .production_id = 259), + [4276] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 8, .production_id = 258), + [4278] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 8, .production_id = 258), + [4280] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_VMode, 3, .production_id = 39), + [4282] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_VMode, 3, .production_id = 39), + [4284] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 8, .production_id = 257), + [4286] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 8, .production_id = 257), + [4288] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 6, .production_id = 146), + [4290] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 6, .production_id = 146), + [4292] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 6, .production_id = 133), + [4294] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 6, .production_id = 133), + [4296] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 9, .production_id = 267), + [4298] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 9, .production_id = 267), + [4300] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_call_statement, 5, .production_id = 26), + [4302] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_call_statement, 5, .production_id = 26), + [4304] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 6, .production_id = 38), + [4306] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 6, .production_id = 38), + [4308] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 9, .production_id = 261), + [4310] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 9, .production_id = 261), + [4312] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 9, .production_id = 179), + [4314] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 9, .production_id = 179), + [4316] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 6, .production_id = 145), + [4318] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 6, .production_id = 145), + [4320] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 6, .production_id = 131), + [4322] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 6, .production_id = 131), + [4324] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 7, .production_id = 210), + [4326] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 7, .production_id = 210), + [4328] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 7, .production_id = 217), + [4330] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 7, .production_id = 217), + [4332] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_declaration, 6, .production_id = 37), + [4334] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_declaration, 6, .production_id = 37), + [4336] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 7, .production_id = 218), + [4338] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 7, .production_id = 218), + [4340] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 6, .production_id = 136), + [4342] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 6, .production_id = 136), + [4344] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 7, .production_id = 219), + [4346] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 7, .production_id = 219), + [4348] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alias_declaration, 6, .production_id = 109), + [4350] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_alias_declaration, 6, .production_id = 109), + [4352] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 9, .production_id = 291), + [4354] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 9, .production_id = 291), + [4356] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 9), + [4358] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 9), + [4360] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_architecture_body, 9, .production_id = 180), + [4362] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_architecture_body, 9, .production_id = 180), + [4364] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 7, .production_id = 211), + [4366] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 7, .production_id = 211), + [4368] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_generate_statement, 9, .production_id = 279), + [4370] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_generate_statement, 9, .production_id = 279), + [4372] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_assertion_statement, 5, .production_id = 22), + [4374] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_assertion_statement, 5, .production_id = 22), + [4376] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 9, .production_id = 290), + [4378] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 9, .production_id = 290), + [4380] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_shared_variable_declaration, 6), + [4382] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_shared_variable_declaration, 6), + [4384] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_variable_declaration, 6), + [4386] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_variable_declaration, 6), + [4388] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signal_declaration, 6), + [4390] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_signal_declaration, 6), + [4392] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 9, .production_id = 268), + [4394] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 9, .production_id = 268), + [4396] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__expr, 1), + [4398] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__expr, 1), + [4400] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 9, .production_id = 269), + [4402] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 9, .production_id = 269), + [4404] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_constant_declaration, 6), + [4406] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_constant_declaration, 6), + [4408] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_file_declaration, 6), + [4410] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_file_declaration, 6), + [4412] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 6, .production_id = 137), + [4414] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 6, .production_id = 137), + [4416] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__concurrent_statement, 1, .production_id = 4), + [4418] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__concurrent_statement, 1, .production_id = 4), + [4420] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 9, .production_id = 270), + [4422] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 9, .production_id = 270), + [4424] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 7), + [4426] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Strong_Fairness_Directive, 7), + [4428] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 6, .production_id = 136), + [4430] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 6, .production_id = 136), + [4432] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_instantiation_declaration, 9, .production_id = 269), + [4434] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_instantiation_declaration, 9, .production_id = 269), + [4436] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 8, .production_id = 257), + [4438] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 8, .production_id = 257), + [4440] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_loop_statement, 5, .production_id = 121), + [4442] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_loop_statement, 5, .production_id = 121), + [4444] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_loop_statement, 5), + [4446] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_loop_statement, 5), + [4448] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveform_assignment, 9, .production_id = 289), + [4450] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_waveform_assignment, 9, .production_id = 289), + [4452] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 5, .production_id = 25), + [4454] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 5, .production_id = 25), + [4456] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 8, .production_id = 256), + [4458] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 8, .production_id = 256), + [4460] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__concurrent_statement, 1, .production_id = 5), + [4462] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__concurrent_statement, 1, .production_id = 5), + [4464] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__concurrent_statement, 1, .production_id = 6), + [4466] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__concurrent_statement, 1, .production_id = 6), + [4468] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_statement, 5), + [4470] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_block_statement, 5), + [4472] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 8, .production_id = 258), + [4474] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 8, .production_id = 258), + [4476] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_bit_string_literal, 1), + [4478] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_bit_string_literal, 1), + [4480] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_null, 1), + [4482] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null, 1), + [4484] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 8, .production_id = 255), + [4486] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 8, .production_id = 255), + [4488] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_wait_statement, 7, .production_id = 216), + [4490] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_wait_statement, 7, .production_id = 216), + [4492] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement, 9, .production_id = 260), + [4494] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_case_statement, 9, .production_id = 260), + [4496] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_instantiation_declaration, 6, .production_id = 137), + [4498] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_instantiation_declaration, 6, .production_id = 137), + [4500] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_body, 5, .production_id = 25), + [4502] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_procedure_body, 5, .production_id = 25), + [4504] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_body, 6, .production_id = 138), + [4506] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_body, 6, .production_id = 138), + [4508] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 6, .production_id = 142), + [4510] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 6, .production_id = 142), + [4512] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_force_assignment, 7, .production_id = 130), + [4514] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_force_assignment, 7, .production_id = 130), + [4516] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 8, .production_id = 254), + [4518] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_body, 8, .production_id = 254), + [4520] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 6, .production_id = 37), + [4522] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 6, .production_id = 37), + [4524] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_instantiation_declaration, 6, .production_id = 141), + [4526] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_instantiation_declaration, 6, .production_id = 141), + [4528] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 6, .production_id = 133), + [4530] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 6, .production_id = 133), + [4532] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 6, .production_id = 38), + [4534] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 6, .production_id = 38), + [4536] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_instantiation_statement, 3, .production_id = 56), + [4538] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_component_instantiation_statement, 3, .production_id = 56), + [4540] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 5, .production_id = 38), + [4542] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 5, .production_id = 38), + [4544] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 6, .production_id = 131), + [4546] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 6, .production_id = 131), + [4548] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_force_assignment, 9, .production_id = 259), + [4550] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_selected_force_assignment, 9, .production_id = 259), + [4552] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_declaration, 6, .production_id = 140), + [4554] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_declaration, 6, .production_id = 140), + [4556] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_process_statement, 5), + [4558] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_process_statement, 5), + [4560] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_declaration, 9, .production_id = 180), + [4562] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_configuration_declaration, 9, .production_id = 180), + [4564] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_declaration, 5, .production_id = 37), + [4566] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_context_declaration, 5, .production_id = 37), + [4568] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_instantiation_declaration, 6, .production_id = 139), + [4570] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_package_instantiation_declaration, 6, .production_id = 139), + [4572] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2875), + [4574] = {.entry = {.count = 1, .reusable = true}}, SHIFT(214), + [4576] = {.entry = {.count = 1, .reusable = true}}, SHIFT(903), + [4578] = {.entry = {.count = 1, .reusable = true}}, SHIFT(904), + [4580] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_choices, 1, .production_id = 11), + [4582] = {.entry = {.count = 1, .reusable = true}}, SHIFT(104), + [4584] = {.entry = {.count = 1, .reusable = true}}, SHIFT(106), + [4586] = {.entry = {.count = 1, .reusable = true}}, SHIFT(744), + [4588] = {.entry = {.count = 1, .reusable = true}}, SHIFT(743), + [4590] = {.entry = {.count = 1, .reusable = true}}, SHIFT(742), + [4592] = {.entry = {.count = 1, .reusable = true}}, SHIFT(741), + [4594] = {.entry = {.count = 1, .reusable = false}}, SHIFT(741), + [4596] = {.entry = {.count = 1, .reusable = false}}, SHIFT(731), + [4598] = {.entry = {.count = 1, .reusable = true}}, SHIFT(731), + [4600] = {.entry = {.count = 1, .reusable = true}}, SHIFT(728), + [4602] = {.entry = {.count = 1, .reusable = true}}, SHIFT(727), + [4604] = {.entry = {.count = 1, .reusable = false}}, SHIFT(734), + [4606] = {.entry = {.count = 1, .reusable = true}}, SHIFT(734), + [4608] = {.entry = {.count = 1, .reusable = true}}, SHIFT(721), + [4610] = {.entry = {.count = 1, .reusable = true}}, SHIFT(518), + [4612] = {.entry = {.count = 1, .reusable = true}}, SHIFT(64), + [4614] = {.entry = {.count = 1, .reusable = true}}, SHIFT(107), + [4616] = {.entry = {.count = 1, .reusable = true}}, SHIFT(328), + [4618] = {.entry = {.count = 1, .reusable = true}}, SHIFT(436), + [4620] = {.entry = {.count = 1, .reusable = true}}, SHIFT(409), + [4622] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3796), + [4625] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4334), + [4627] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3545), + [4630] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assert_Directive, 4, .production_id = 100), + [4632] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assert_Directive, 5, .production_id = 100), + [4634] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assert_Directive, 4, .production_id = 49), + [4636] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Assert_Directive, 3, .production_id = 49), + [4638] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2453), + [4640] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2950), + [4642] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_positional_element_association, 1, .production_id = 10), + [4644] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4342), + [4646] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_physical_literal, 2, .production_id = 30), + [4648] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_physical_literal, 2, .production_id = 30), + [4650] = {.entry = {.count = 1, .reusable = true}}, SHIFT(229), + [4652] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3585), + [4654] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4291), + [4656] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3533), + [4659] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2420), + [4661] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4236), + [4663] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5449), + [4665] = {.entry = {.count = 1, .reusable = true}}, SHIFT(595), + [4667] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7194), + [4669] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5264), + [4671] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3828), + [4673] = {.entry = {.count = 1, .reusable = true}}, SHIFT(51), + [4675] = {.entry = {.count = 1, .reusable = true}}, SHIFT(865), + [4677] = {.entry = {.count = 1, .reusable = true}}, SHIFT(596), + [4679] = {.entry = {.count = 1, .reusable = true}}, SHIFT(142), + [4681] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4640), + [4683] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4642), + [4685] = {.entry = {.count = 1, .reusable = true}}, SHIFT(168), + [4687] = {.entry = {.count = 1, .reusable = true}}, SHIFT(252), + [4689] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3782), + [4691] = {.entry = {.count = 1, .reusable = false}}, SHIFT(42), + [4693] = {.entry = {.count = 1, .reusable = true}}, SHIFT(39), + [4695] = {.entry = {.count = 1, .reusable = false}}, SHIFT(191), + [4697] = {.entry = {.count = 1, .reusable = true}}, SHIFT(189), + [4699] = {.entry = {.count = 1, .reusable = true}}, SHIFT(187), + [4701] = {.entry = {.count = 1, .reusable = true}}, SHIFT(422), + [4703] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7193), + [4705] = {.entry = {.count = 1, .reusable = true}}, SHIFT(230), + [4707] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_factor, 2, .production_id = 29), + [4709] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_factor, 2, .production_id = 29), + [4711] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 29), + [4713] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 29), + [4715] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4293), + [4717] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3488), + [4720] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3545), + [4722] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2853), + [4724] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 29), + [4726] = {.entry = {.count = 1, .reusable = true}}, SHIFT(665), + [4728] = {.entry = {.count = 1, .reusable = false}}, SHIFT(665), + [4730] = {.entry = {.count = 1, .reusable = false}}, SHIFT(666), + [4732] = {.entry = {.count = 1, .reusable = true}}, SHIFT(666), + [4734] = {.entry = {.count = 1, .reusable = true}}, SHIFT(667), + [4736] = {.entry = {.count = 1, .reusable = true}}, SHIFT(668), + [4738] = {.entry = {.count = 1, .reusable = false}}, SHIFT(671), + [4740] = {.entry = {.count = 1, .reusable = true}}, SHIFT(671), + [4742] = {.entry = {.count = 1, .reusable = true}}, SHIFT(677), + [4744] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1804), + [4746] = {.entry = {.count = 1, .reusable = true}}, SHIFT(111), + [4748] = {.entry = {.count = 1, .reusable = true}}, SHIFT(108), + [4750] = {.entry = {.count = 1, .reusable = true}}, SHIFT(799), + [4752] = {.entry = {.count = 1, .reusable = true}}, SHIFT(795), + [4754] = {.entry = {.count = 1, .reusable = true}}, SHIFT(794), + [4756] = {.entry = {.count = 1, .reusable = true}}, SHIFT(793), + [4758] = {.entry = {.count = 1, .reusable = false}}, SHIFT(793), + [4760] = {.entry = {.count = 1, .reusable = false}}, SHIFT(792), + [4762] = {.entry = {.count = 1, .reusable = true}}, SHIFT(792), + [4764] = {.entry = {.count = 1, .reusable = true}}, SHIFT(790), + [4766] = {.entry = {.count = 1, .reusable = true}}, SHIFT(788), + [4768] = {.entry = {.count = 1, .reusable = false}}, SHIFT(784), + [4770] = {.entry = {.count = 1, .reusable = true}}, SHIFT(784), + [4772] = {.entry = {.count = 1, .reusable = true}}, SHIFT(782), + [4774] = {.entry = {.count = 1, .reusable = true}}, SHIFT(67), + [4776] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3418), + [4778] = {.entry = {.count = 1, .reusable = true}}, SHIFT(100), + [4780] = {.entry = {.count = 1, .reusable = true}}, SHIFT(435), + [4782] = {.entry = {.count = 1, .reusable = true}}, SHIFT(419), + [4784] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 29), + [4786] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 29), + [4788] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 29), + [4790] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_logical_expression, 3, .production_id = 68), + [4792] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_shift_expression, 3, .production_id = 68), + [4794] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_shift_expression, 3, .production_id = 68), + [4796] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2874), + [4798] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_relation, 3, .production_id = 68), + [4800] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1823), + [4802] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4338), + [4804] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1304), + [4806] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_condition, 2, .production_id = 29), + [4808] = {.entry = {.count = 1, .reusable = true}}, SHIFT(658), + [4810] = {.entry = {.count = 1, .reusable = true}}, SHIFT(660), + [4812] = {.entry = {.count = 1, .reusable = true}}, SHIFT(661), + [4814] = {.entry = {.count = 1, .reusable = true}}, SHIFT(663), + [4816] = {.entry = {.count = 1, .reusable = true}}, SHIFT(664), + [4818] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_reduction, 2, .production_id = 29), + [4820] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sign, 2, .production_id = 29), + [4822] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_sign, 2, .production_id = 29), + [4824] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 29), + [4826] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 29), + [4828] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1581), + [4830] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__simple_name, 1, .production_id = 1), REDUCE(sym_PSL_Instance, 1, .production_id = 18), + [4833] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__simple_name, 1, .production_id = 1), SHIFT(29), + [4836] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Instance, 1, .production_id = 18), + [4838] = {.entry = {.count = 1, .reusable = true}}, SHIFT(456), + [4840] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_subtype_indication, 1), + [4842] = {.entry = {.count = 1, .reusable = true}}, SHIFT(553), + [4844] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5465), + [4846] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_subtype_indication, 1), + [4848] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 29), + [4850] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 29), + [4852] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exponentiation, 3, .production_id = 68), + [4854] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_exponentiation, 3, .production_id = 68), + [4856] = {.entry = {.count = 1, .reusable = true}}, SHIFT(410), + [4858] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__primary, 1), SHIFT(3796), + [4861] = {.entry = {.count = 1, .reusable = true}}, SHIFT(451), + [4863] = {.entry = {.count = 1, .reusable = true}}, SHIFT(540), + [4865] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2883), + [4867] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_descending_range, 3, .production_id = 67), + [4869] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_descending_range, 3, .production_id = 67), + [4871] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_ascending_range, 3, .production_id = 66), + [4873] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_ascending_range, 3, .production_id = 66), + [4875] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__simple_name, 1), REDUCE(sym_PSL_Instance, 1, .production_id = 18), + [4878] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__simple_name, 1), SHIFT(29), + [4881] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__simple_name, 1), + [4883] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__simple_name, 1), + [4885] = {.entry = {.count = 1, .reusable = false}}, SHIFT(918), + [4887] = {.entry = {.count = 1, .reusable = true}}, SHIFT(918), + [4889] = {.entry = {.count = 1, .reusable = true}}, SHIFT(924), + [4891] = {.entry = {.count = 1, .reusable = true}}, SHIFT(912), + [4893] = {.entry = {.count = 1, .reusable = false}}, SHIFT(912), + [4895] = {.entry = {.count = 1, .reusable = false}}, SHIFT(913), + [4897] = {.entry = {.count = 1, .reusable = true}}, SHIFT(913), + [4899] = {.entry = {.count = 1, .reusable = true}}, SHIFT(915), + [4901] = {.entry = {.count = 1, .reusable = true}}, SHIFT(917), + [4903] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4276), + [4905] = {.entry = {.count = 1, .reusable = true}}, SHIFT(905), + [4907] = {.entry = {.count = 1, .reusable = true}}, SHIFT(906), + [4909] = {.entry = {.count = 1, .reusable = true}}, SHIFT(907), + [4911] = {.entry = {.count = 1, .reusable = true}}, SHIFT(910), + [4913] = {.entry = {.count = 1, .reusable = true}}, SHIFT(911), + [4915] = {.entry = {.count = 1, .reusable = true}}, SHIFT(556), + [4917] = {.entry = {.count = 1, .reusable = true}}, SHIFT(773), + [4919] = {.entry = {.count = 1, .reusable = false}}, SHIFT(773), + [4921] = {.entry = {.count = 1, .reusable = false}}, SHIFT(791), + [4923] = {.entry = {.count = 1, .reusable = true}}, SHIFT(791), + [4925] = {.entry = {.count = 1, .reusable = true}}, SHIFT(803), + [4927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(806), + [4929] = {.entry = {.count = 1, .reusable = false}}, SHIFT(808), + [4931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(808), + [4933] = {.entry = {.count = 1, .reusable = true}}, SHIFT(810), + [4935] = {.entry = {.count = 1, .reusable = true}}, SHIFT(234), + [4937] = {.entry = {.count = 1, .reusable = true}}, SHIFT(66), + [4939] = {.entry = {.count = 1, .reusable = true}}, SHIFT(96), + [4941] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1787), + [4943] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Expression, 3, .production_id = 87), + [4945] = {.entry = {.count = 1, .reusable = true}}, SHIFT(90), + [4947] = {.entry = {.count = 1, .reusable = true}}, SHIFT(97), + [4949] = {.entry = {.count = 1, .reusable = true}}, SHIFT(770), + [4951] = {.entry = {.count = 1, .reusable = true}}, SHIFT(771), + [4953] = {.entry = {.count = 1, .reusable = true}}, SHIFT(772), + [4955] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3640), + [4957] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1529), + [4959] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Expression, 3, .production_id = 84), + [4961] = {.entry = {.count = 1, .reusable = true}}, SHIFT(754), + [4963] = {.entry = {.count = 1, .reusable = true}}, SHIFT(752), + [4965] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Actual_Parameter, 1, .production_id = 83), + [4967] = {.entry = {.count = 1, .reusable = true}}, SHIFT(113), + [4969] = {.entry = {.count = 1, .reusable = true}}, SHIFT(102), + [4971] = {.entry = {.count = 1, .reusable = true}}, SHIFT(548), + [4973] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5420), + [4975] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4316), + [4977] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3489), + [4980] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3361), + [4982] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3361), + [4985] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1321), + [4987] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3639), + [4989] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1782), + [4991] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 3, .production_id = 87), + [4993] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Implication_FL_Property_repeat1, 2, .production_id = 45), + [4995] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 4, .production_id = 117), + [4997] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Property_Replicator, 4, .production_id = 115), + [4999] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Suffix_Implication_FL_Property, 3, .production_id = 88), + [5001] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Invariant_FL_Property, 2, .production_id = 45), + [5003] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 4, .production_id = 119), + [5005] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 5, .production_id = 162), + [5007] = {.entry = {.count = 1, .reusable = true}}, SHIFT(830), + [5009] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Logical_FL_Property_repeat1, 2, .production_id = 45), + [5011] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 5, .production_id = 164), + [5013] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3043), + [5015] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Ocurrence_FL_Property, 2, .production_id = 45), + [5017] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 3, .production_id = 84), + [5019] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4298), + [5021] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3533), + [5023] = {.entry = {.count = 1, .reusable = true}}, SHIFT(225), + [5025] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4305), + [5027] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3488), + [5029] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Ocurrence_FL_Property, 3, .production_id = 80), + [5031] = {.entry = {.count = 1, .reusable = true}}, SHIFT(551), + [5033] = {.entry = {.count = 1, .reusable = true}}, SHIFT(712), + [5035] = {.entry = {.count = 1, .reusable = false}}, SHIFT(712), + [5037] = {.entry = {.count = 1, .reusable = false}}, SHIFT(709), + [5039] = {.entry = {.count = 1, .reusable = true}}, SHIFT(709), + [5041] = {.entry = {.count = 1, .reusable = true}}, SHIFT(706), + [5043] = {.entry = {.count = 1, .reusable = true}}, SHIFT(702), + [5045] = {.entry = {.count = 1, .reusable = false}}, SHIFT(700), + [5047] = {.entry = {.count = 1, .reusable = true}}, SHIFT(700), + [5049] = {.entry = {.count = 1, .reusable = true}}, SHIFT(697), + [5051] = {.entry = {.count = 1, .reusable = true}}, SHIFT(238), + [5053] = {.entry = {.count = 1, .reusable = true}}, SHIFT(543), + [5055] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1784), + [5057] = {.entry = {.count = 1, .reusable = true}}, SHIFT(224), + [5059] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1746), + [5061] = {.entry = {.count = 1, .reusable = true}}, SHIFT(564), + [5063] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5362), + [5065] = {.entry = {.count = 1, .reusable = true}}, SHIFT(900), + [5067] = {.entry = {.count = 1, .reusable = true}}, SHIFT(902), + [5069] = {.entry = {.count = 1, .reusable = true}}, SHIFT(929), + [5071] = {.entry = {.count = 1, .reusable = true}}, SHIFT(933), + [5073] = {.entry = {.count = 1, .reusable = true}}, SHIFT(934), + [5075] = {.entry = {.count = 1, .reusable = true}}, SHIFT(936), + [5077] = {.entry = {.count = 1, .reusable = false}}, SHIFT(936), + [5079] = {.entry = {.count = 1, .reusable = false}}, SHIFT(944), + [5081] = {.entry = {.count = 1, .reusable = true}}, SHIFT(944), + [5083] = {.entry = {.count = 1, .reusable = true}}, SHIFT(968), + [5085] = {.entry = {.count = 1, .reusable = true}}, SHIFT(673), + [5087] = {.entry = {.count = 1, .reusable = false}}, SHIFT(872), + [5089] = {.entry = {.count = 1, .reusable = true}}, SHIFT(872), + [5091] = {.entry = {.count = 1, .reusable = true}}, SHIFT(804), + [5093] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4098), + [5095] = {.entry = {.count = 1, .reusable = true}}, SHIFT(832), + [5097] = {.entry = {.count = 1, .reusable = false}}, SHIFT(832), + [5099] = {.entry = {.count = 1, .reusable = false}}, SHIFT(825), + [5101] = {.entry = {.count = 1, .reusable = true}}, SHIFT(825), + [5103] = {.entry = {.count = 1, .reusable = true}}, SHIFT(822), + [5105] = {.entry = {.count = 1, .reusable = true}}, SHIFT(821), + [5107] = {.entry = {.count = 1, .reusable = false}}, SHIFT(820), + [5109] = {.entry = {.count = 1, .reusable = true}}, SHIFT(820), + [5111] = {.entry = {.count = 1, .reusable = true}}, SHIFT(819), + [5113] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4102), + [5115] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1785), + [5117] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1319), + [5119] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1773), + [5121] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1479), + [5123] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1554), + [5125] = {.entry = {.count = 1, .reusable = true}}, SHIFT(544), + [5127] = {.entry = {.count = 1, .reusable = true}}, SHIFT(227), + [5129] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Clocked_SERE, 5, .production_id = 152), + [5131] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1325), + [5133] = {.entry = {.count = 1, .reusable = true}}, SHIFT(845), + [5135] = {.entry = {.count = 1, .reusable = true}}, SHIFT(840), + [5137] = {.entry = {.count = 1, .reusable = true}}, SHIFT(839), + [5139] = {.entry = {.count = 1, .reusable = true}}, SHIFT(834), + [5141] = {.entry = {.count = 1, .reusable = true}}, SHIFT(833), + [5143] = {.entry = {.count = 1, .reusable = true}}, SHIFT(453), + [5145] = {.entry = {.count = 1, .reusable = true}}, SHIFT(562), + [5147] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5417), + [5149] = {.entry = {.count = 1, .reusable = true}}, SHIFT(724), + [5151] = {.entry = {.count = 1, .reusable = true}}, SHIFT(723), + [5153] = {.entry = {.count = 1, .reusable = true}}, SHIFT(719), + [5155] = {.entry = {.count = 1, .reusable = true}}, SHIFT(716), + [5157] = {.entry = {.count = 1, .reusable = true}}, SHIFT(713), + [5159] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4171), + [5161] = {.entry = {.count = 1, .reusable = true}}, SHIFT(559), + [5163] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4108), + [5165] = {.entry = {.count = 1, .reusable = true}}, SHIFT(380), + [5167] = {.entry = {.count = 1, .reusable = true}}, SHIFT(970), + [5169] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4292), + [5171] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6517), + [5173] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__concurrent_statement, 1), + [5175] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__concurrent_statement, 1), + [5177] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__declaration, 1), + [5179] = {.entry = {.count = 1, .reusable = true}}, SHIFT(387), + [5181] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(230), + [5184] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_constituent_list, 1), + [5186] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3815), + [5188] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_logical_expression, 2, .production_id = 31), + [5190] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_logical_expression, 2, .production_id = 31), + [5192] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_simple_expression, 2, .production_id = 31), + [5194] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_simple_expression, 2, .production_id = 31), + [5196] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_concatenation, 2, .production_id = 31), + [5198] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_concatenation, 2, .production_id = 31), + [5200] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_term, 2, .production_id = 31), + [5202] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_term, 2, .production_id = 31), + [5204] = {.entry = {.count = 1, .reusable = true}}, SHIFT(545), + [5206] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), + [5208] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(661), + [5211] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), + [5213] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4288), + [5215] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3503), + [5218] = {.entry = {.count = 3, .reusable = true}}, REDUCE(sym_type_mark, 1), REDUCE(sym__primary, 1), SHIFT(5674), + [5222] = {.entry = {.count = 1, .reusable = true}}, SHIFT(547), + [5224] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat1, 2), + [5226] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat1, 2), + [5228] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Verification_Unit_Body_repeat1, 2), SHIFT_REPEAT(3821), + [5231] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), + [5233] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(658), + [5236] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), + [5238] = {.entry = {.count = 1, .reusable = true}}, SHIFT(732), + [5240] = {.entry = {.count = 1, .reusable = true}}, SHIFT(967), + [5242] = {.entry = {.count = 1, .reusable = true}}, SHIFT(416), + [5244] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), + [5246] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(660), + [5249] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), + [5251] = {.entry = {.count = 1, .reusable = true}}, SHIFT(569), + [5253] = {.entry = {.count = 1, .reusable = true}}, SHIFT(802), + [5255] = {.entry = {.count = 1, .reusable = true}}, SHIFT(801), + [5257] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), + [5259] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), + [5261] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(671), + [5264] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(671), + [5267] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), + [5269] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), + [5271] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(668), + [5274] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), + [5276] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(665), + [5279] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(665), + [5282] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), + [5284] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), + [5286] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(664), + [5289] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), + [5291] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4339), + [5293] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3489), + [5295] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(918), + [5298] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(918), + [5301] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(917), + [5304] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(912), + [5307] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(912), + [5310] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(911), + [5313] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(907), + [5316] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(906), + [5319] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(905), + [5322] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Value_Range, 1, .production_id = 83), + [5324] = {.entry = {.count = 1, .reusable = true}}, SHIFT(959), + [5326] = {.entry = {.count = 1, .reusable = true}}, SHIFT(956), + [5328] = {.entry = {.count = 1, .reusable = true}}, SHIFT(955), + [5330] = {.entry = {.count = 1, .reusable = true}}, SHIFT(952), + [5332] = {.entry = {.count = 1, .reusable = true}}, SHIFT(650), + [5334] = {.entry = {.count = 1, .reusable = true}}, SHIFT(949), + [5336] = {.entry = {.count = 1, .reusable = false}}, SHIFT(949), + [5338] = {.entry = {.count = 1, .reusable = false}}, SHIFT(946), + [5340] = {.entry = {.count = 1, .reusable = true}}, SHIFT(946), + [5342] = {.entry = {.count = 1, .reusable = true}}, SHIFT(945), + [5344] = {.entry = {.count = 1, .reusable = true}}, SHIFT(943), + [5346] = {.entry = {.count = 1, .reusable = false}}, SHIFT(942), + [5348] = {.entry = {.count = 1, .reusable = true}}, SHIFT(942), + [5350] = {.entry = {.count = 1, .reusable = true}}, SHIFT(941), + [5352] = {.entry = {.count = 1, .reusable = true}}, SHIFT(379), + [5354] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_SERE, 1, .production_id = 47), + [5356] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Inherit_Spec, 4), + [5358] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Inherit_Spec, 4), + [5360] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Clocked_FL_Property_repeat1, 2, .production_id = 86), + [5362] = {.entry = {.count = 1, .reusable = true}}, SHIFT(843), + [5364] = {.entry = {.count = 1, .reusable = false}}, SHIFT(843), + [5366] = {.entry = {.count = 1, .reusable = false}}, SHIFT(659), + [5368] = {.entry = {.count = 1, .reusable = true}}, SHIFT(659), + [5370] = {.entry = {.count = 1, .reusable = true}}, SHIFT(965), + [5372] = {.entry = {.count = 1, .reusable = true}}, SHIFT(962), + [5374] = {.entry = {.count = 1, .reusable = false}}, SHIFT(947), + [5376] = {.entry = {.count = 1, .reusable = true}}, SHIFT(947), + [5378] = {.entry = {.count = 1, .reusable = true}}, SHIFT(940), + [5380] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Inherit_Spec, 3), + [5382] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Inherit_Spec, 3), + [5384] = {.entry = {.count = 1, .reusable = true}}, SHIFT(567), + [5386] = {.entry = {.count = 1, .reusable = true}}, SHIFT(763), + [5388] = {.entry = {.count = 1, .reusable = true}}, SHIFT(764), + [5390] = {.entry = {.count = 1, .reusable = true}}, SHIFT(881), + [5392] = {.entry = {.count = 1, .reusable = true}}, SHIFT(873), + [5394] = {.entry = {.count = 1, .reusable = true}}, SHIFT(669), + [5396] = {.entry = {.count = 1, .reusable = true}}, SHIFT(421), + [5398] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(734), + [5401] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(734), + [5404] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(727), + [5407] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(741), + [5410] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(741), + [5413] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(742), + [5416] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(744), + [5419] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Termination_FL_Property, 3, .production_id = 84), + [5421] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(752), + [5424] = {.entry = {.count = 1, .reusable = true}}, SHIFT(549), + [5426] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Termination_FL_Property, 3, .production_id = 87), + [5428] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(754), + [5431] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3356), + [5433] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3356), + [5436] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Built_In_Function_Call, 4, .production_id = 112), + [5438] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification, 3, .production_id = 113), + [5440] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4346), + [5442] = {.entry = {.count = 1, .reusable = true}}, SHIFT(602), + [5444] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7250), + [5446] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5195), + [5448] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3841), + [5450] = {.entry = {.count = 1, .reusable = true}}, SHIFT(59), + [5452] = {.entry = {.count = 1, .reusable = true}}, SHIFT(652), + [5454] = {.entry = {.count = 1, .reusable = true}}, SHIFT(625), + [5456] = {.entry = {.count = 1, .reusable = true}}, SHIFT(161), + [5458] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4680), + [5460] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4683), + [5462] = {.entry = {.count = 1, .reusable = true}}, SHIFT(241), + [5464] = {.entry = {.count = 1, .reusable = false}}, SHIFT(45), + [5466] = {.entry = {.count = 1, .reusable = true}}, SHIFT(56), + [5468] = {.entry = {.count = 1, .reusable = false}}, SHIFT(200), + [5470] = {.entry = {.count = 1, .reusable = true}}, SHIFT(197), + [5472] = {.entry = {.count = 1, .reusable = true}}, SHIFT(192), + [5474] = {.entry = {.count = 1, .reusable = true}}, SHIFT(389), + [5476] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7269), + [5478] = {.entry = {.count = 1, .reusable = true}}, SHIFT(557), + [5480] = {.entry = {.count = 1, .reusable = true}}, SHIFT(554), + [5482] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(902), + [5485] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(821), + [5488] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(832), + [5491] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(832), + [5494] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(833), + [5497] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(820), + [5500] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(820), + [5503] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(839), + [5506] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4252), + [5508] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5631), + [5510] = {.entry = {.count = 1, .reusable = true}}, SHIFT(48), + [5512] = {.entry = {.count = 1, .reusable = true}}, SHIFT(922), + [5514] = {.entry = {.count = 1, .reusable = true}}, SHIFT(921), + [5516] = {.entry = {.count = 1, .reusable = true}}, SHIFT(169), + [5518] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(929), + [5521] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(700), + [5524] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(700), + [5527] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(702), + [5530] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(712), + [5533] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(712), + [5536] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(713), + [5539] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(719), + [5542] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(723), + [5545] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(724), + [5548] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(934), + [5551] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(936), + [5554] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(936), + [5557] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(673), + [5560] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(872), + [5563] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(872), + [5566] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(900), + [5569] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(845), + [5572] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(840), + [5575] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3394), + [5577] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2296), + [5579] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3431), + [5581] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2965), + [5583] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1348), + [5585] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4296), + [5587] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3503), + [5589] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2307), + [5591] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(806), + [5594] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(967), + [5597] = {.entry = {.count = 1, .reusable = true}}, SHIFT(892), + [5599] = {.entry = {.count = 1, .reusable = false}}, SHIFT(892), + [5601] = {.entry = {.count = 1, .reusable = false}}, SHIFT(898), + [5603] = {.entry = {.count = 1, .reusable = true}}, SHIFT(898), + [5605] = {.entry = {.count = 1, .reusable = true}}, SHIFT(909), + [5607] = {.entry = {.count = 1, .reusable = false}}, SHIFT(920), + [5609] = {.entry = {.count = 1, .reusable = false}}, SHIFT(926), + [5611] = {.entry = {.count = 1, .reusable = true}}, SHIFT(926), + [5613] = {.entry = {.count = 1, .reusable = true}}, SHIFT(928), + [5615] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4361), + [5617] = {.entry = {.count = 1, .reusable = true}}, SHIFT(231), + [5619] = {.entry = {.count = 1, .reusable = true}}, SHIFT(656), + [5621] = {.entry = {.count = 1, .reusable = true}}, SHIFT(657), + [5623] = {.entry = {.count = 1, .reusable = true}}, SHIFT(503), + [5625] = {.entry = {.count = 1, .reusable = true}}, SHIFT(535), + [5627] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3800), + [5629] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__simple_name, 1), SHIFT(28), + [5632] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(808), + [5635] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(808), + [5638] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__simple_name, 1, .production_id = 1), SHIFT(28), + [5641] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(773), + [5644] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(773), + [5647] = {.entry = {.count = 1, .reusable = true}}, SHIFT(886), + [5649] = {.entry = {.count = 1, .reusable = true}}, SHIFT(887), + [5651] = {.entry = {.count = 1, .reusable = true}}, SHIFT(889), + [5653] = {.entry = {.count = 1, .reusable = true}}, SHIFT(890), + [5655] = {.entry = {.count = 1, .reusable = true}}, SHIFT(891), + [5657] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Clocked_SERE, 5, .production_id = 152), + [5659] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(794), + [5662] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(732), + [5665] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(772), + [5668] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(770), + [5671] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(801), + [5674] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Union_Expression, 3, .production_id = 155), + [5676] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(784), + [5679] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(784), + [5682] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(802), + [5685] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_waveform_element, 1, .production_id = 10), + [5687] = {.entry = {.count = 1, .reusable = true}}, SHIFT(893), + [5689] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Union_Expression, 3, .production_id = 157), + [5691] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(788), + [5694] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(793), + [5697] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(793), + [5700] = {.entry = {.count = 1, .reusable = true}}, SHIFT(454), + [5702] = {.entry = {.count = 1, .reusable = true}}, SHIFT(565), + [5704] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5453), + [5706] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(799), + [5709] = {.entry = {.count = 1, .reusable = true}}, SHIFT(377), + [5711] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1062), + [5713] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1816), + [5715] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Built_In_Function_Call_repeat1, 2, .production_id = 154), + [5717] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1519), + [5719] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_expression_list, 1, .production_id = 10), + [5721] = {.entry = {.count = 1, .reusable = true}}, SHIFT(884), + [5723] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1813), + [5725] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_choices_repeat1, 2, .production_id = 63), + [5727] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_positional_association_element, 1, .production_id = 55), REDUCE(sym_expression_list, 1, .production_id = 10), + [5730] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_positional_association_element, 1, .production_id = 55), SHIFT(884), + [5733] = {.entry = {.count = 2, .reusable = false}}, REDUCE(sym_port_clause, 6, .dynamic_precedence = -3), REDUCE(sym_port_clause, 6), + [5736] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_port_clause, 6, .dynamic_precedence = -3), REDUCE(sym_port_clause, 6), + [5739] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(949), + [5742] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(949), + [5745] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(947), + [5748] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(947), + [5751] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(962), + [5754] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(843), + [5757] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(843), + [5760] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(669), + [5763] = {.entry = {.count = 1, .reusable = true}}, SHIFT(394), + [5765] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(881), + [5768] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(763), + [5771] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(764), + [5774] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alternative_conditional_expressions, 2, .production_id = 36), + [5776] = {.entry = {.count = 1, .reusable = true}}, SHIFT(651), + [5778] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__after, 2, .production_id = 75), + [5780] = {.entry = {.count = 1, .reusable = true}}, SHIFT(707), + [5782] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_port_map_aspect, 5), + [5784] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_port_map_aspect, 5), + [5786] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_port_map_aspect, 6), + [5788] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_port_map_aspect, 6), + [5790] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3656), + [5792] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_port_clause, 6, .dynamic_precedence = -3), + [5794] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_port_clause, 6, .dynamic_precedence = -3), + [5796] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_generic_map_aspect, 6), + [5798] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generic_map_aspect, 6), + [5800] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_generic_clause, 6, .dynamic_precedence = -3), + [5802] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generic_clause, 6, .dynamic_precedence = -3), + [5804] = {.entry = {.count = 2, .reusable = false}}, REDUCE(sym_generic_clause, 6, .dynamic_precedence = -3), REDUCE(sym_generic_clause, 6), + [5807] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_generic_clause, 6, .dynamic_precedence = -3), REDUCE(sym_generic_clause, 6), + [5810] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_generic_map_aspect, 5), + [5812] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generic_map_aspect, 5), + [5814] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(942), + [5817] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(942), + [5820] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(943), + [5823] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(650), + [5826] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(955), + [5829] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(956), + [5832] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(959), + [5835] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5229), + [5837] = {.entry = {.count = 2, .reusable = false}}, REDUCE(sym_port_clause, 5, .dynamic_precedence = -3), REDUCE(sym_port_clause, 5), + [5840] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_port_clause, 5, .dynamic_precedence = -3), REDUCE(sym_port_clause, 5), + [5843] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_port_clause, 5, .dynamic_precedence = -3), + [5845] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_port_clause, 5, .dynamic_precedence = -3), + [5847] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_generic_clause, 5, .dynamic_precedence = -3), + [5849] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generic_clause, 5, .dynamic_precedence = -3), + [5851] = {.entry = {.count = 2, .reusable = false}}, REDUCE(sym_generic_clause, 5, .dynamic_precedence = -3), REDUCE(sym_generic_clause, 5), + [5854] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_generic_clause, 5, .dynamic_precedence = -3), REDUCE(sym_generic_clause, 5), + [5857] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_port_clause, 4), + [5859] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_port_clause, 4), + [5861] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3657), + [5863] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__semicolon, 1, .production_id = 122), + [5865] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__semicolon, 1, .production_id = 122), + [5867] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_generic_clause, 4), + [5869] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generic_clause, 4), + [5871] = {.entry = {.count = 1, .reusable = true}}, SHIFT(740), + [5873] = {.entry = {.count = 1, .reusable = true}}, SHIFT(739), + [5875] = {.entry = {.count = 1, .reusable = true}}, SHIFT(737), + [5877] = {.entry = {.count = 1, .reusable = true}}, SHIFT(736), + [5879] = {.entry = {.count = 1, .reusable = true}}, SHIFT(735), + [5881] = {.entry = {.count = 1, .reusable = true}}, SHIFT(787), + [5883] = {.entry = {.count = 1, .reusable = false}}, SHIFT(787), + [5885] = {.entry = {.count = 1, .reusable = false}}, SHIFT(733), + [5887] = {.entry = {.count = 1, .reusable = true}}, SHIFT(733), + [5889] = {.entry = {.count = 1, .reusable = true}}, SHIFT(714), + [5891] = {.entry = {.count = 1, .reusable = true}}, SHIFT(711), + [5893] = {.entry = {.count = 1, .reusable = false}}, SHIFT(710), + [5895] = {.entry = {.count = 1, .reusable = true}}, SHIFT(710), + [5897] = {.entry = {.count = 1, .reusable = true}}, SHIFT(708), + [5899] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3386), + [5902] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1444), + [5904] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3386), + [5906] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1790), + [5908] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1754), + [5910] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1791), + [5912] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Number, 1, .production_id = 44), + [5914] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1793), + [5916] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3332), + [5918] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3332), + [5921] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1327), + [5923] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3506), + [5925] = {.entry = {.count = 1, .reusable = true}}, SHIFT(563), + [5927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3550), + [5929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3547), + [5931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1388), + [5933] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1255), + [5935] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3365), + [5937] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5604), + [5939] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1653), + [5941] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1352), + [5943] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1658), + [5945] = {.entry = {.count = 1, .reusable = true}}, SHIFT(393), + [5947] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3365), + [5950] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1757), + [5952] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1780), + [5954] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1622), + [5956] = {.entry = {.count = 1, .reusable = true}}, SHIFT(382), + [5958] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1608), + [5960] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4645), + [5962] = {.entry = {.count = 1, .reusable = true}}, SHIFT(396), + [5964] = {.entry = {.count = 1, .reusable = true}}, SHIFT(411), + [5966] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5230), + [5968] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1446), + [5970] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3490), + [5972] = {.entry = {.count = 1, .reusable = true}}, SHIFT(837), + [5974] = {.entry = {.count = 1, .reusable = false}}, SHIFT(837), + [5976] = {.entry = {.count = 1, .reusable = false}}, SHIFT(841), + [5978] = {.entry = {.count = 1, .reusable = true}}, SHIFT(841), + [5980] = {.entry = {.count = 1, .reusable = true}}, SHIFT(842), + [5982] = {.entry = {.count = 1, .reusable = true}}, SHIFT(846), + [5984] = {.entry = {.count = 1, .reusable = false}}, SHIFT(849), + [5986] = {.entry = {.count = 1, .reusable = true}}, SHIFT(849), + [5988] = {.entry = {.count = 1, .reusable = true}}, SHIFT(855), + [5990] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1528), + [5992] = {.entry = {.count = 1, .reusable = true}}, SHIFT(674), + [5994] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5281), + [5996] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5269), + [5998] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1606), + [6000] = {.entry = {.count = 1, .reusable = true}}, SHIFT(749), + [6002] = {.entry = {.count = 1, .reusable = true}}, SHIFT(847), + [6004] = {.entry = {.count = 1, .reusable = true}}, SHIFT(809), + [6006] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_positional_association_element, 1, .production_id = 55), + [6008] = {.entry = {.count = 1, .reusable = true}}, SHIFT(726), + [6010] = {.entry = {.count = 1, .reusable = true}}, SHIFT(725), + [6012] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__when_clause, 2, .production_id = 22), + [6014] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_named_element_association, 3, .production_id = 64), + [6016] = {.entry = {.count = 1, .reusable = true}}, SHIFT(757), + [6018] = {.entry = {.count = 1, .reusable = true}}, SHIFT(756), + [6020] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3465), + [6022] = {.entry = {.count = 1, .reusable = true}}, SHIFT(860), + [6024] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_inertial_expression, 2), + [6026] = {.entry = {.count = 1, .reusable = true}}, SHIFT(566), + [6028] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1060), + [6030] = {.entry = {.count = 1, .reusable = true}}, SHIFT(948), + [6032] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3472), + [6034] = {.entry = {.count = 1, .reusable = true}}, SHIFT(798), + [6036] = {.entry = {.count = 1, .reusable = true}}, SHIFT(823), + [6038] = {.entry = {.count = 1, .reusable = true}}, SHIFT(824), + [6040] = {.entry = {.count = 1, .reusable = true}}, SHIFT(961), + [6042] = {.entry = {.count = 1, .reusable = true}}, SHIFT(960), + [6044] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3491), + [6046] = {.entry = {.count = 1, .reusable = true}}, SHIFT(894), + [6048] = {.entry = {.count = 1, .reusable = true}}, SHIFT(747), + [6050] = {.entry = {.count = 1, .reusable = true}}, SHIFT(745), + [6052] = {.entry = {.count = 1, .reusable = true}}, SHIFT(751), + [6054] = {.entry = {.count = 1, .reusable = true}}, SHIFT(882), + [6056] = {.entry = {.count = 1, .reusable = true}}, SHIFT(550), + [6058] = {.entry = {.count = 1, .reusable = true}}, SHIFT(878), + [6060] = {.entry = {.count = 1, .reusable = true}}, SHIFT(877), + [6062] = {.entry = {.count = 1, .reusable = true}}, SHIFT(876), + [6064] = {.entry = {.count = 1, .reusable = true}}, SHIFT(875), + [6066] = {.entry = {.count = 1, .reusable = true}}, SHIFT(874), + [6068] = {.entry = {.count = 1, .reusable = true}}, SHIFT(871), + [6070] = {.entry = {.count = 1, .reusable = false}}, SHIFT(871), + [6072] = {.entry = {.count = 1, .reusable = false}}, SHIFT(870), + [6074] = {.entry = {.count = 1, .reusable = true}}, SHIFT(870), + [6076] = {.entry = {.count = 1, .reusable = true}}, SHIFT(868), + [6078] = {.entry = {.count = 1, .reusable = true}}, SHIFT(867), + [6080] = {.entry = {.count = 1, .reusable = false}}, SHIFT(866), + [6082] = {.entry = {.count = 1, .reusable = true}}, SHIFT(866), + [6084] = {.entry = {.count = 1, .reusable = true}}, SHIFT(864), + [6086] = {.entry = {.count = 1, .reusable = true}}, SHIFT(826), + [6088] = {.entry = {.count = 1, .reusable = true}}, SHIFT(827), + [6090] = {.entry = {.count = 1, .reusable = true}}, SHIFT(831), + [6092] = {.entry = {.count = 1, .reusable = true}}, SHIFT(835), + [6094] = {.entry = {.count = 1, .reusable = true}}, SHIFT(836), + [6096] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_reduction, 2, .production_id = 29), + [6098] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_condition, 2, .production_id = 29), + [6100] = {.entry = {.count = 1, .reusable = true}}, SHIFT(863), + [6102] = {.entry = {.count = 1, .reusable = true}}, SHIFT(862), + [6104] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_default_expression, 2), + [6106] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1096), + [6108] = {.entry = {.count = 1, .reusable = true}}, SHIFT(653), + [6110] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__header, 4), + [6112] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__header, 4), + [6114] = {.entry = {.count = 1, .reusable = true}}, SHIFT(953), + [6116] = {.entry = {.count = 1, .reusable = true}}, SHIFT(954), + [6118] = {.entry = {.count = 1, .reusable = true}}, SHIFT(670), + [6120] = {.entry = {.count = 1, .reusable = true}}, SHIFT(672), + [6122] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_named_association_element, 3, .production_id = 126), + [6124] = {.entry = {.count = 1, .reusable = true}}, SHIFT(880), + [6126] = {.entry = {.count = 1, .reusable = true}}, SHIFT(879), + [6128] = {.entry = {.count = 1, .reusable = true}}, SHIFT(760), + [6130] = {.entry = {.count = 1, .reusable = true}}, SHIFT(761), + [6132] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 29), + [6134] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 29), + [6136] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 29), + [6138] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3518), + [6140] = {.entry = {.count = 1, .reusable = true}}, SHIFT(861), + [6142] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_logical_expression, 3, .production_id = 68), + [6144] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 29), + [6146] = {.entry = {.count = 1, .reusable = true}}, SHIFT(896), + [6148] = {.entry = {.count = 1, .reusable = true}}, SHIFT(899), + [6150] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_relation, 3, .production_id = 68), + [6152] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__report, 2, .production_id = 21), + [6154] = {.entry = {.count = 1, .reusable = true}}, SHIFT(131), + [6156] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5), + [6158] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5310), + [6160] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5311), + [6162] = {.entry = {.count = 1, .reusable = true}}, SHIFT(807), + [6164] = {.entry = {.count = 1, .reusable = true}}, SHIFT(805), + [6166] = {.entry = {.count = 1, .reusable = true}}, SHIFT(851), + [6168] = {.entry = {.count = 1, .reusable = true}}, SHIFT(848), + [6170] = {.entry = {.count = 1, .reusable = false}}, SHIFT(5390), + [6172] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_expression_list_repeat1, 2, .production_id = 36), + [6174] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3448), + [6176] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__header, 2), + [6178] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__header, 2), + [6180] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3574), + [6182] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4), + [6184] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3703), + [6186] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5236), + [6188] = {.entry = {.count = 1, .reusable = true}}, SHIFT(635), + [6190] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3694), + [6192] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2143), + [6194] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3712), + [6196] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5315), + [6198] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3492), + [6200] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1607), + [6202] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3493), + [6204] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3470), + [6206] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1295), + [6208] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3463), + [6210] = {.entry = {.count = 1, .reusable = false}}, SHIFT(617), + [6212] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2244), + [6214] = {.entry = {.count = 1, .reusable = true}}, SHIFT(631), + [6216] = {.entry = {.count = 1, .reusable = false}}, SHIFT(623), + [6218] = {.entry = {.count = 1, .reusable = true}}, SHIFT(623), + [6220] = {.entry = {.count = 1, .reusable = false}}, SHIFT(631), + [6222] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6992), + [6224] = {.entry = {.count = 1, .reusable = true}}, SHIFT(997), + [6226] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__header, 1), + [6228] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__header, 1), + [6230] = {.entry = {.count = 1, .reusable = true}}, SHIFT(568), + [6232] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__guard, 1, .production_id = 52), + [6234] = {.entry = {.count = 1, .reusable = true}}, SHIFT(477), + [6236] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1404), + [6238] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7), + [6240] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3479), + [6242] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5325), + [6244] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1535), + [6246] = {.entry = {.count = 1, .reusable = true}}, SHIFT(132), + [6248] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1542), + [6250] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1604), + [6252] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3556), + [6254] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__reject, 2, .production_id = 97), + [6256] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1775), + [6258] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1589), + [6260] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1045), + [6262] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3713), + [6264] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_while_loop, 2, .production_id = 22), + [6266] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3699), + [6268] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2059), + [6270] = {.entry = {.count = 1, .reusable = true}}, SHIFT(975), + [6272] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2052), + [6274] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2056), + [6276] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2056), + [6278] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2052), + [6280] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1503), + [6282] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1291), + [6284] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2421), + [6286] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2029), + [6288] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2024), + [6290] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2025), + [6292] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2025), + [6294] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2024), + [6296] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3512), + [6298] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3519), + [6300] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3469), + [6302] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2100), + [6304] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1979), + [6306] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2848), + [6308] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3530), + [6310] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3524), + [6312] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__header, 3), + [6314] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__header, 3), + [6316] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1055), + [6318] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1402), + [6320] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2527), + [6322] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1341), + [6324] = {.entry = {.count = 1, .reusable = true}}, SHIFT(490), + [6326] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5326), + [6328] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6), + [6330] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5322), + [6332] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3482), + [6334] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3535), + [6336] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1144), + [6338] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3424), + [6340] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3468), + [6342] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1475), + [6344] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3711), + [6346] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__file_logical_name, 1, .production_id = 144), + [6348] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1132), + [6350] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1708), + [6352] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2067), + [6354] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3716), + [6356] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1739), + [6358] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5317), + [6360] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3276), + [6362] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3478), + [6364] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4468), + [6366] = {.entry = {.count = 1, .reusable = true}}, SHIFT(462), + [6368] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3700), + [6370] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3834), + [6372] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3834), + [6374] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(926), + [6377] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(926), + [6380] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_index_constraint, 3), REDUCE(sym_slice_name, 4, .production_id = 94), + [6383] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3715), + [6385] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(886), + [6388] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(887), + [6391] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(920), + [6394] = {.entry = {.count = 1, .reusable = true}}, SHIFT(927), + [6396] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(892), + [6399] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(892), + [6402] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(891), + [6405] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(889), + [6408] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3120), + [6410] = {.entry = {.count = 2, .reusable = false}}, REDUCE(sym_generic_clause, 4, .dynamic_precedence = -3), REDUCE(sym_generic_clause, 4), + [6413] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_generic_clause, 4, .dynamic_precedence = -3), REDUCE(sym_generic_clause, 4), + [6416] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_port_clause, 3), + [6418] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_port_clause, 3), + [6420] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_generic_clause, 4, .dynamic_precedence = -3), + [6422] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generic_clause, 4, .dynamic_precedence = -3), + [6424] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_positional_association_element, 1, .dynamic_precedence = 2, .production_id = 54), REDUCE(sym_group_constituent_list, 1), + [6427] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_generic_map_aspect, 4), + [6429] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generic_map_aspect, 4), + [6431] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_generic_clause, 3), + [6433] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generic_clause, 3), + [6435] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_port_clause, 4, .dynamic_precedence = -3), + [6437] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_port_clause, 4, .dynamic_precedence = -3), + [6439] = {.entry = {.count = 2, .reusable = false}}, REDUCE(sym_port_clause, 4, .dynamic_precedence = -3), REDUCE(sym_port_clause, 4), + [6442] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_port_clause, 4, .dynamic_precedence = -3), REDUCE(sym_port_clause, 4), + [6445] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_port_map_aspect, 4), + [6447] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_port_map_aspect, 4), + [6449] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2799), + [6451] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2850), + [6453] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2833), + [6455] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2833), + [6457] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2850), + [6459] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2191), + [6461] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3252), + [6463] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3250), + [6465] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3251), + [6467] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3251), + [6469] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3250), + [6471] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3671), + [6473] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3666), + [6475] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3667), + [6477] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3667), + [6479] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3666), + [6481] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_positional_association_element, 1, .dynamic_precedence = 2, .production_id = 54), REDUCE(sym__primary, 1), + [6484] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(710), + [6487] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(710), + [6490] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(711), + [6493] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(787), + [6496] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(787), + [6499] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(735), + [6502] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(737), + [6505] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(739), + [6508] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(740), + [6511] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_label, 2, .production_id = 14), + [6513] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_label, 2, .production_id = 14), + [6515] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_label, 2), + [6517] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_label, 2), + [6519] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(874), + [6522] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(877), + [6525] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(846), + [6528] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat4, 2, .production_id = 69), SHIFT_REPEAT(836), + [6531] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(826), + [6534] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat2, 2, .production_id = 69), SHIFT_REPEAT(827), + [6537] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(831), + [6540] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3753), + [6542] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3794), + [6544] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4716), + [6546] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4713), + [6548] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4721), + [6550] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(866), + [6553] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(866), + [6556] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(849), + [6559] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_term_repeat1, 2, .production_id = 69), SHIFT_REPEAT(849), + [6562] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(837), + [6565] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(837), + [6568] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_concatenation_repeat1, 2, .production_id = 69), SHIFT_REPEAT(867), + [6571] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(871), + [6574] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_simple_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(871), + [6577] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat3, 2, .production_id = 69), SHIFT_REPEAT(876), + [6580] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_expression_repeat1, 2, .production_id = 69), SHIFT_REPEAT(878), + [6583] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__primary, 1), REDUCE(sym_named_association_element, 3, .dynamic_precedence = 2, .production_id = 125), + [6586] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assert_Directive, 5, .production_id = 100), + [6588] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assert_Directive, 3, .production_id = 49), + [6590] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assert_Directive, 4, .production_id = 100), + [6592] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Assert_Directive, 4, .production_id = 49), + [6594] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4714), + [6596] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4714), + [6598] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4717), + [6600] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4686), + [6602] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4735), + [6604] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4735), + [6606] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4736), + [6608] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_transport, 1), + [6610] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_transport, 1), + [6612] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_delay_mechanism, 1), + [6614] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_delay_mechanism, 1), + [6616] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_inertial, 1), + [6618] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_inertial, 1), + [6620] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_force_mode, 1), + [6622] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_force_mode, 1), + [6624] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_inertial, 2, .production_id = 98), + [6626] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_inertial, 2, .production_id = 98), + [6628] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4373), + [6630] = {.entry = {.count = 1, .reusable = true}}, SHIFT(212), + [6632] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4268), + [6634] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4351), + [6636] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3891), + [6638] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4356), + [6640] = {.entry = {.count = 1, .reusable = false}}, SHIFT(5399), + [6642] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5008), + [6644] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5758), + [6646] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4776), + [6648] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4780), + [6650] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5756), + [6652] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5755), + [6654] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5571), + [6656] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5572), + [6658] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5573), + [6660] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5574), + [6662] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5400), + [6664] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5002), + [6666] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4405), + [6668] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4400), + [6670] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5014), + [6672] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3447), + [6674] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4969), + [6676] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3440), + [6678] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2039), + [6680] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1766), + [6682] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1887), + [6684] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2422), + [6686] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2909), + [6688] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2907), + [6690] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4483), + [6692] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3722), + [6694] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3994), + [6696] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1763), + [6698] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1761), + [6700] = {.entry = {.count = 1, .reusable = true}}, SHIFT(758), + [6702] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3769), + [6704] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1873), + [6706] = {.entry = {.count = 1, .reusable = true}}, SHIFT(972), + [6708] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1915), + [6710] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2971), + [6712] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3729), + [6714] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3778), + [6716] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3771), + [6718] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1966), + [6720] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3738), + [6722] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1894), + [6724] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1985), + [6726] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2885), + [6728] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3753), + [6730] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5232), + [6732] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4165), + [6734] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4322), + [6736] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4104), + [6738] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4518), + [6740] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4032), + [6742] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4032), + [6744] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Built_In_Function_Call, 5), + [6746] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3658), + [6748] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3945), + [6750] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7172), + [6752] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3903), + [6754] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4753), + [6756] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Built_In_Function_Call, 4), + [6758] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Built_In_Function_Call, 5, .production_id = 112), + [6760] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4809), + [6762] = {.entry = {.count = 1, .reusable = true}}, SHIFT(552), + [6764] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5751), + [6766] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4815), + [6768] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3756), + [6770] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6876), + [6772] = {.entry = {.count = 1, .reusable = true}}, SHIFT(256), + [6774] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Count, 4, .production_id = 68), + [6776] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4531), + [6778] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4412), + [6780] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Count, 3, .production_id = 68), + [6782] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Count, 3), + [6784] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3845), + [6786] = {.entry = {.count = 1, .reusable = true}}, SHIFT(109), + [6788] = {.entry = {.count = 1, .reusable = true}}, SHIFT(63), + [6790] = {.entry = {.count = 1, .reusable = true}}, SHIFT(110), + [6792] = {.entry = {.count = 1, .reusable = true}}, SHIFT(331), + [6794] = {.entry = {.count = 1, .reusable = true}}, SHIFT(407), + [6796] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4383), + [6798] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Repeated_SERE, 1), + [6800] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Extended_Ocurrence_FL_Property_Count_Specification, 1), + [6802] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Count, 2), + [6804] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4159), + [6806] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4505), + [6808] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4525), + [6810] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4353), + [6812] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4538), + [6814] = {.entry = {.count = 1, .reusable = false}}, SHIFT(5305), + [6816] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4537), + [6818] = {.entry = {.count = 1, .reusable = true}}, SHIFT(693), + [6820] = {.entry = {.count = 3, .reusable = true}}, REDUCE(sym__PSL_Ambiguous_Instance, 1, .dynamic_precedence = -1), REDUCE(sym__PSL_Sequence_Instance, 1, .dynamic_precedence = -2, .production_id = 19), REDUCE(sym__PSL_Property_Instance, 1, .dynamic_precedence = -2, .production_id = 20), + [6824] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Sequence_Instance, 1, .dynamic_precedence = -2, .production_id = 19), + [6826] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__PSL_Sequence_Instance, 1, .dynamic_precedence = -2, .production_id = 19), REDUCE(sym__PSL_Property_Instance, 1, .dynamic_precedence = -2, .production_id = 20), + [6829] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1536), + [6831] = {.entry = {.count = 1, .reusable = true}}, SHIFT(93), + [6833] = {.entry = {.count = 1, .reusable = true}}, SHIFT(62), + [6835] = {.entry = {.count = 1, .reusable = true}}, SHIFT(89), + [6837] = {.entry = {.count = 1, .reusable = true}}, SHIFT(418), + [6839] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4527), + [6841] = {.entry = {.count = 1, .reusable = true}}, SHIFT(781), + [6843] = {.entry = {.count = 1, .reusable = true}}, SHIFT(248), + [6845] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Instance, 4, .production_id = 18), + [6847] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4510), + [6849] = {.entry = {.count = 1, .reusable = true}}, SHIFT(776), + [6851] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3892), + [6853] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4462), + [6855] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Clocked_SERE, 5), + [6857] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Repeated_SERE, 2, .production_id = 47), + [6859] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Repeated_SERE, 2), + [6861] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1786), + [6863] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1802), + [6865] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1614), + [6867] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4500), + [6869] = {.entry = {.count = 1, .reusable = true}}, SHIFT(730), + [6871] = {.entry = {.count = 1, .reusable = true}}, SHIFT(251), + [6873] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Expression, 3, .production_id = 68), + [6875] = {.entry = {.count = 1, .reusable = true}}, SHIFT(74), + [6877] = {.entry = {.count = 1, .reusable = true}}, SHIFT(65), + [6879] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Expression, 3, .production_id = 85), + [6881] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1803), + [6883] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification, 3, .production_id = 114), + [6885] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1223), + [6887] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Braced_SERE, 3), + [6889] = {.entry = {.count = 1, .reusable = true}}, SHIFT(98), + [6891] = {.entry = {.count = 1, .reusable = false}}, SHIFT(425), + [6893] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3784), + [6895] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4650), + [6897] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4365), + [6899] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5784), + [6901] = {.entry = {.count = 1, .reusable = false}}, SHIFT(423), + [6903] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Actual_Parameter, 1), + [6905] = {.entry = {.count = 1, .reusable = true}}, SHIFT(92), + [6907] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1799), + [6909] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1155), + [6911] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3945), + [6913] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Factor_FL_Property, 2, .production_id = 29), + [6915] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Parenthesized_FL_Property, 3), + [6917] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Logical_FL_Property_repeat1, 2, .production_id = 29), + [6919] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5061), + [6921] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5060), + [6923] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Implication_FL_Property_repeat1, 2, .production_id = 29), + [6925] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 3, .production_id = 85), + [6927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2262), + [6929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1948), + [6931] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 5, .production_id = 165), + [6933] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 5, .production_id = 163), + [6935] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Sequential_FL_Property, 1), + [6937] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4125), + [6939] = {.entry = {.count = 1, .reusable = true}}, SHIFT(75), + [6941] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Ocurrence_FL_Property, 3, .production_id = 81), + [6943] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Invariant_FL_Property, 2, .production_id = 29), + [6945] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Ocurrence_FL_Property, 2, .production_id = 29), + [6947] = {.entry = {.count = 1, .reusable = false}}, SHIFT(4580), + [6949] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1885), + [6951] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2414), + [6953] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 3, .production_id = 68), + [6955] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2112), + [6957] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3163), + [6959] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2546), + [6961] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_interface_declaration, 5, .production_id = 139), + [6963] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5775), + [6965] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5774), + [6967] = {.entry = {.count = 1, .reusable = true}}, SHIFT(79), + [6969] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2123), + [6971] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4349), + [6973] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 4, .production_id = 120), + [6975] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Bounding_FL_Property, 4, .production_id = 118), + [6977] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2984), + [6979] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Property_Replicator, 4, .production_id = 116), + [6981] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4580), + [6983] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1817), + [6985] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_interface_declaration, 5, .production_id = 141), + [6987] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3084), + [6989] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2000), + [6991] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Suffix_Implication_FL_Property, 3, .production_id = 89), + [6993] = {.entry = {.count = 1, .reusable = true}}, SHIFT(78), + [6995] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2736), + [6997] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4033), + [6999] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3925), + [7001] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4001), + [7003] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4031), + [7005] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3988), + [7007] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 3, .production_id = 32), + [7009] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3665), + [7011] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7171), + [7013] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3981), + [7015] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 3, .production_id = 33), + [7017] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2566), + [7019] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 3, .production_id = 32), + [7021] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3692), + [7023] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7164), + [7025] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2592), + [7027] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3887), + [7029] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3908), + [7031] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4101), + [7033] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 3, .production_id = 33), + [7035] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4096), + [7037] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4094), + [7039] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4582), + [7041] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 2, .production_id = 12), + [7043] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4586), + [7045] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4542), + [7047] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1333), + [7049] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1772), + [7051] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 2, .production_id = 13), + [7053] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4088), + [7055] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4206), + [7057] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 2, .production_id = 12), + [7059] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 2, .production_id = 13), + [7061] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4167), + [7063] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4109), + [7065] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5696), + [7067] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5740), + [7069] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4416), + [7071] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3760), + [7073] = {.entry = {.count = 1, .reusable = false}}, SHIFT(5740), + [7075] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4584), + [7077] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4093), + [7079] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4092), + [7081] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1657), + [7083] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1143), + [7085] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1140), + [7087] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1137), + [7089] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3971), + [7091] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1135), + [7093] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3969), + [7095] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1783), + [7097] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1778), + [7099] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3968), + [7101] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3859), + [7103] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2555), + [7105] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2709), + [7107] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1684), + [7109] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1688), + [7111] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1712), + [7113] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1745), + [7115] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2715), + [7117] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3967), + [7119] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4516), + [7121] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1727), + [7123] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3979), + [7125] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_type_mark, 1), SHIFT(3585), + [7128] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4515), + [7130] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4509), + [7132] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4508), + [7134] = {.entry = {.count = 1, .reusable = true}}, SHIFT(116), + [7136] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4002), + [7138] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4377), + [7140] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2719), + [7142] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2561), + [7144] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4348), + [7146] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4359), + [7148] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4611), + [7150] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4610), + [7152] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4358), + [7154] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3934), + [7156] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3936), + [7158] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1320), + [7160] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3965), + [7162] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1520), + [7164] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3984), + [7166] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3985), + [7168] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3986), + [7170] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4175), + [7172] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3987), + [7174] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3991), + [7176] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1788), + [7178] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1555), + [7180] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2738), + [7182] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4462), + [7184] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2768), + [7186] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4557), + [7188] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4559), + [7190] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__function_specification, 2, .production_id = 13), SHIFT(7162), + [7193] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__function_specification, 2, .production_id = 12), SHIFT(7165), + [7196] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__procedure_specification, 2, .production_id = 13), SHIFT(7168), + [7199] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__procedure_specification, 2, .production_id = 12), SHIFT(7174), + [7202] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_PSL_Property_Replicator, 4, .production_id = 116), REDUCE(sym_PSL_Property_Replicator, 4, .dynamic_precedence = 3, .production_id = 116), + [7205] = {.entry = {.count = 1, .reusable = true}}, SHIFT(91), + [7207] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__function_specification, 3, .production_id = 33), SHIFT(7019), + [7210] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__function_specification, 3, .production_id = 32), SHIFT(7018), + [7213] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6715), + [7215] = {.entry = {.count = 1, .reusable = true}}, SHIFT(973), + [7217] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5037), + [7219] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3659), + [7221] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__procedure_specification, 3, .production_id = 33), SHIFT(7017), + [7224] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__procedure_specification, 3, .production_id = 32), SHIFT(7016), + [7227] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__procedure_specification, 3, .production_id = 32), SHIFT(6910), + [7230] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__procedure_specification, 3, .production_id = 33), SHIFT(6840), + [7233] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__function_specification, 3, .production_id = 32), SHIFT(6906), + [7236] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__function_specification, 3, .production_id = 33), SHIFT(6904), + [7239] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__function_specification, 2, .production_id = 13), SHIFT(6971), + [7242] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__function_specification, 2, .production_id = 12), SHIFT(6970), + [7245] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__procedure_specification, 2, .production_id = 13), SHIFT(6969), + [7248] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__procedure_specification, 2, .production_id = 12), SHIFT(6968), + [7251] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4128), + [7253] = {.entry = {.count = 1, .reusable = true}}, SHIFT(119), + [7255] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4604), + [7257] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7152), + [7259] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1771), + [7261] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4608), + [7263] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6467), + [7265] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4543), + [7267] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6466), + [7269] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Extended_Ocurrence_argument, 4, .production_id = 161), + [7271] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Extended_Ocurrence_argument, 4, .production_id = 160), + [7273] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Extended_Ocurrence_argument, 4, .production_id = 159), + [7275] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Extended_Ocurrence_argument, 4, .production_id = 158), + [7277] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Parameterized_Property, 7, .production_id = 246), + [7279] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Parameterized_Property, 7, .production_id = 247), + [7281] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5502), + [7283] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5500), + [7285] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4652), + [7287] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4503), + [7289] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Extended_Ocurrence_FL_Property, 2, .production_id = 46), + [7291] = {.entry = {.count = 1, .reusable = true}}, SHIFT(375), + [7293] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6803), + [7295] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2503), + [7297] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5712), + [7299] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5713), + [7301] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4460), + [7303] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4477), + [7305] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2492), + [7307] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5708), + [7309] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5709), + [7311] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4431), + [7313] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4459), + [7315] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Sequential_FL_Property, 2), + [7317] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2563), + [7319] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5694), + [7321] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5697), + [7323] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4398), + [7325] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4397), + [7327] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2480), + [7329] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5704), + [7331] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5705), + [7333] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4410), + [7335] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4411), + [7337] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2558), + [7339] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5698), + [7341] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5699), + [7343] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4392), + [7345] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4390), + [7347] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2482), + [7349] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5702), + [7351] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5703), + [7353] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4408), + [7355] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4409), + [7357] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4106), + [7359] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4111), + [7361] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4112), + [7363] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4116), + [7365] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4130), + [7367] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4136), + [7369] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1336), + [7371] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4138), + [7373] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1556), + [7375] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2652), + [7377] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5716), + [7379] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5719), + [7381] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4391), + [7383] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4379), + [7385] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4147), + [7387] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4161), + [7389] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_signal_list_repeat1, 2), + [7391] = {.entry = {.count = 1, .reusable = true}}, SHIFT(532), + [7393] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4181), + [7395] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4182), + [7397] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sensitivity_list, 1), + [7399] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3818), + [7401] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1621), + [7403] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5913), + [7405] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5914), + [7407] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4415), + [7409] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4414), + [7411] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Extended_Ocurrence_FL_Property, 3, .production_id = 82), + [7413] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1610), + [7415] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5910), + [7417] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5911), + [7419] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4495), + [7421] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4493), + [7423] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Suffix_Implication_FL_Property, 6, .production_id = 203), + [7425] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4191), + [7427] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4204), + [7429] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Suffix_Implication_FL_Property, 6, .production_id = 204), + [7431] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Clocked_FL_Property_repeat1, 2, .production_id = 29), + [7433] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Termination_FL_Property, 3, .production_id = 85), + [7435] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2737), + [7437] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5720), + [7439] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5721), + [7441] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4470), + [7443] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4381), + [7445] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1412), + [7447] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5859), + [7449] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5860), + [7451] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4437), + [7453] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4432), + [7455] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1415), + [7457] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5857), + [7459] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5858), + [7461] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4444), + [7463] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4438), + [7465] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1428), + [7467] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5855), + [7469] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5856), + [7471] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4363), + [7473] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4461), + [7475] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1432), + [7477] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5853), + [7479] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5854), + [7481] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4465), + [7483] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4463), + [7485] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1715), + [7487] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5746), + [7489] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5747), + [7491] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4487), + [7493] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4484), + [7495] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1697), + [7497] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5748), + [7499] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5749), + [7501] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4480), + [7503] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4479), + [7505] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Termination_FL_Property, 3, .production_id = 68), + [7507] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1777), + [7509] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4602), + [7511] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7147), + [7513] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Implication_FL_Property, 2, .production_id = 31), + [7515] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Clocked_FL_Property, 2, .production_id = 50), + [7517] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Clocked_FL_Property_repeat1, 2, .production_id = 69), + [7519] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Clocked_FL_Property_repeat1, 2, .production_id = 69), SHIFT_REPEAT(436), + [7522] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Clocked_FL_Property, 2, .production_id = 31), + [7524] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Implication_FL_Property_repeat1, 2, .production_id = 69), + [7526] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Implication_FL_Property_repeat1, 2, .production_id = 69), SHIFT_REPEAT(91), + [7529] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Logical_FL_Property_repeat1, 2, .production_id = 69), + [7531] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Logical_FL_Property_repeat1, 2, .production_id = 69), SHIFT_REPEAT(74), + [7534] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Logical_FL_Property, 2, .production_id = 31), + [7536] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1364), + [7538] = {.entry = {.count = 1, .reusable = true}}, SHIFT(226), + [7540] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_instantiation, 1, .production_id = 3), + [7542] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3567), + [7544] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__target, 1, .production_id = 2), + [7546] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Implication_FL_Property_repeat1, 2, .production_id = 69), SHIFT_REPEAT(78), + [7549] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Clocked_FL_Property_repeat1, 2, .production_id = 69), SHIFT_REPEAT(435), + [7552] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Implication_FL_Property, 2, .production_id = 50), + [7554] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Logical_FL_Property, 2, .production_id = 50), + [7556] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1361), + [7558] = {.entry = {.count = 1, .reusable = true}}, SHIFT(233), + [7560] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Logical_FL_Property_repeat1, 2, .production_id = 69), SHIFT_REPEAT(93), + [7563] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1835), + [7565] = {.entry = {.count = 1, .reusable = true}}, SHIFT(232), + [7567] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2744), + [7569] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1207), + [7571] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Implication_FL_Property_repeat1, 2, .production_id = 69), SHIFT_REPEAT(119), + [7574] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2740), + [7576] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1845), + [7578] = {.entry = {.count = 1, .reusable = true}}, SHIFT(236), + [7580] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3653), + [7582] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1027), + [7584] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1015), + [7586] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1131), + [7588] = {.entry = {.count = 1, .reusable = false}}, SHIFT(574), + [7590] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1836), + [7592] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1971), + [7594] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2061), + [7596] = {.entry = {.count = 1, .reusable = true}}, SHIFT(570), + [7598] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2908), + [7600] = {.entry = {.count = 1, .reusable = true}}, SHIFT(979), + [7602] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2893), + [7604] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6316), + [7606] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2894), + [7608] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6317), + [7610] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1769), + [7612] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1897), + [7614] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1764), + [7616] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6286), + [7618] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1765), + [7620] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6287), + [7622] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2202), + [7624] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1986), + [7626] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1990), + [7628] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6309), + [7630] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1989), + [7632] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6310), + [7634] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2727), + [7636] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3149), + [7638] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1972), + [7640] = {.entry = {.count = 1, .reusable = true}}, SHIFT(376), + [7642] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1099), + [7644] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1092), + [7646] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2745), + [7648] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2753), + [7650] = {.entry = {.count = 1, .reusable = false}}, SHIFT(509), + [7652] = {.entry = {.count = 1, .reusable = true}}, SHIFT(526), + [7654] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6243), + [7656] = {.entry = {.count = 1, .reusable = true}}, SHIFT(524), + [7658] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6244), + [7660] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2684), + [7662] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2765), + [7664] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1827), + [7666] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1445), + [7668] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3801), + [7670] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6168), + [7672] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1805), + [7674] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Logical_FL_Property_repeat1, 2, .production_id = 69), SHIFT_REPEAT(109), + [7677] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5305), + [7679] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1756), + [7681] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1758), + [7683] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6269), + [7685] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1760), + [7687] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6270), + [7689] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1084), + [7691] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2596), + [7693] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2607), + [7695] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2021), + [7697] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_list, 1), + [7699] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3960), + [7701] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Built_In_Function_Call, 5), + [7703] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Built_In_Function_Call, 5, .production_id = 112), + [7705] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_instantiation, 2, .production_id = 17), + [7707] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_verification_unit_list, 1), + [7709] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4000), + [7711] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2678), + [7713] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2785), + [7715] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3811), + [7717] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signal_list, 1), + [7719] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_instantiation, 2, .production_id = 8), + [7721] = {.entry = {.count = 1, .reusable = true}}, SHIFT(239), + [7723] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_configuration_instantiation, 2, .production_id = 9), + [7725] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Built_In_Function_Call, 4), + [7727] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Built_In_Function_Call, 4, .production_id = 112), + [7729] = {.entry = {.count = 1, .reusable = true}}, SHIFT(971), + [7731] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6803), + [7733] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1601), + [7735] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2510), + [7737] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_interface_subprogram_default, 1), + [7739] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2595), + [7741] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2679), + [7743] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2606), + [7745] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2579), + [7747] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2583), + [7749] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2613), + [7751] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5887), + [7753] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5886), + [7755] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3599), + [7757] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2581), + [7759] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2584), + [7761] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_verification_unit_list_repeat1, 2), + [7763] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4824), + [7765] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2621), + [7767] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4825), + [7769] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2575), + [7771] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3606), + [7773] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_group_constituent_list_repeat1, 2), + [7775] = {.entry = {.count = 3, .reusable = true}}, REDUCE(sym_generic_clause, 5, .dynamic_precedence = -3), REDUCE(sym_generic_clause, 5), SHIFT(3120), + [7779] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_generic_clause, 5, .dynamic_precedence = -3), SHIFT(3120), + [7782] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_generic_map_aspect, 5), SHIFT(3120), + [7785] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_port_clause, 5, .dynamic_precedence = -3), SHIFT(3120), + [7788] = {.entry = {.count = 3, .reusable = true}}, REDUCE(sym_port_clause, 5, .dynamic_precedence = -3), REDUCE(sym_port_clause, 5), SHIFT(3120), + [7792] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_port_map_aspect, 5), SHIFT(3120), + [7795] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2487), + [7797] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2622), + [7799] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2479), + [7801] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2626), + [7803] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2629), + [7805] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2588), + [7807] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2484), + [7809] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2485), + [7811] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2591), + [7813] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_generic_clause, 3), SHIFT(3120), + [7816] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_port_clause, 3), SHIFT(3120), + [7819] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2593), + [7821] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2601), + [7823] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2631), + [7825] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2582), + [7827] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2634), + [7829] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2642), + [7831] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Inherit_Spec_repeat1, 2), + [7833] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1638), + [7835] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1639), + [7837] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Constant_Parameter_Specification, 1), + [7839] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Constant_Parameter_Specification, 1), + [7841] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1632), + [7843] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_use_clause_repeat1, 2), + [7845] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2656), + [7847] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1628), + [7849] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_port_map_aspect, 4), SHIFT(3120), + [7852] = {.entry = {.count = 3, .reusable = true}}, REDUCE(sym_port_clause, 4, .dynamic_precedence = -3), REDUCE(sym_port_clause, 4), SHIFT(3120), + [7856] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_port_clause, 4, .dynamic_precedence = -3), SHIFT(3120), + [7859] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2665), + [7861] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2666), + [7863] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2667), + [7865] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1466), + [7867] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1461), + [7869] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1226), + [7871] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1211), + [7873] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2757), + [7875] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1665), + [7877] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2637), + [7879] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_generic_map_aspect, 4), SHIFT(3120), + [7882] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2645), + [7884] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2657), + [7886] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1689), + [7888] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1709), + [7890] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2658), + [7892] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_generic_clause, 4, .dynamic_precedence = -3), SHIFT(3120), + [7895] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1707), + [7897] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2659), + [7899] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1716), + [7901] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1680), + [7903] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1718), + [7905] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1721), + [7907] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2660), + [7909] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1650), + [7911] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1647), + [7913] = {.entry = {.count = 3, .reusable = true}}, REDUCE(sym_generic_clause, 4, .dynamic_precedence = -3), REDUCE(sym_generic_clause, 4), SHIFT(3120), + [7917] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1735), + [7919] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1533), + [7921] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1627), + [7923] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1210), + [7925] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1147), + [7927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1743), + [7929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1742), + [7931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1736), + [7933] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2708), + [7935] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2725), + [7937] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1605), + [7939] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1587), + [7941] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2610), + [7943] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3662), + [7945] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1582), + [7947] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1523), + [7949] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2576), + [7951] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1510), + [7953] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1508), + [7955] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1498), + [7957] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2515), + [7959] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3660), + [7961] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2536), + [7963] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2731), + [7965] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2535), + [7967] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1417), + [7969] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1418), + [7971] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2534), + [7973] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2516), + [7975] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1434), + [7977] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2533), + [7979] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1435), + [7981] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2517), + [7983] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1547), + [7985] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1552), + [7987] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1558), + [7989] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1561), + [7991] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1695), + [7993] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1576), + [7995] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1692), + [7997] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1588), + [7999] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1585), + [8001] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1583), + [8003] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 3, .production_id = 34), + [8005] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1853), + [8007] = {.entry = {.count = 1, .reusable = true}}, SHIFT(240), + [8009] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5297), + [8011] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 3, .production_id = 35), + [8013] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1505), + [8015] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1507), + [8017] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1509), + [8019] = {.entry = {.count = 1, .reusable = true}}, SHIFT(299), + [8021] = {.entry = {.count = 1, .reusable = true}}, SHIFT(297), + [8023] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Value_Range, 1), + [8025] = {.entry = {.count = 1, .reusable = true}}, SHIFT(378), + [8027] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_SERE, 1), + [8029] = {.entry = {.count = 1, .reusable = true}}, SHIFT(525), + [8031] = {.entry = {.count = 1, .reusable = true}}, SHIFT(235), + [8033] = {.entry = {.count = 1, .reusable = true}}, SHIFT(228), + [8035] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 3, .production_id = 34), + [8037] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__physical_literal, 1, .production_id = 275), + [8039] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2628), + [8041] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 3, .production_id = 35), + [8043] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2630), + [8045] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2632), + [8047] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1302), + [8049] = {.entry = {.count = 1, .reusable = true}}, SHIFT(237), + [8051] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 4, .production_id = 71), + [8053] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 4, .production_id = 70), + [8055] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1014), + [8057] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2511), + [8059] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 4, .production_id = 70), + [8061] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1011), + [8063] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1009), + [8065] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2497), + [8067] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 4, .production_id = 71), + [8069] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2498), + [8071] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1000), + [8073] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3990), + [8075] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6557), + [8077] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6299), + [8079] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4352), + [8081] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2650), + [8083] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1006), + [8085] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_PSL_Compound_SERE_Within_repeat1, 2, .production_id = 29), + [8087] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Compound_SERE_Within_repeat1, 2, .production_id = 29), + [8089] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_PSL_Compound_SERE_And_repeat1, 2, .production_id = 29), + [8091] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Compound_SERE_And_repeat1, 2, .production_id = 29), + [8093] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2346), + [8095] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2513), + [8097] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1004), + [8099] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1002), + [8101] = {.entry = {.count = 1, .reusable = true}}, SHIFT(215), + [8103] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2609), + [8105] = {.entry = {.count = 1, .reusable = true}}, SHIFT(213), + [8107] = {.entry = {.count = 1, .reusable = true}}, SHIFT(558), + [8109] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5998), + [8111] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2294), + [8113] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1472), + [8115] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2550), + [8117] = {.entry = {.count = 1, .reusable = true}}, SHIFT(53), + [8119] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2519), + [8121] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2522), + [8123] = {.entry = {.count = 1, .reusable = true}}, SHIFT(976), + [8125] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1365), + [8127] = {.entry = {.count = 1, .reusable = true}}, SHIFT(216), + [8129] = {.entry = {.count = 1, .reusable = true}}, SHIFT(222), + [8131] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3995), + [8133] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5553), + [8135] = {.entry = {.count = 1, .reusable = true}}, SHIFT(561), + [8137] = {.entry = {.count = 1, .reusable = true}}, SHIFT(430), + [8139] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Braced_SERE, 3), + [8141] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1522), + [8143] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1517), + [8145] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1515), + [8147] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1513), + [8149] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6852), + [8151] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2620), + [8153] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6855), + [8155] = {.entry = {.count = 1, .reusable = false}}, SHIFT(133), + [8157] = {.entry = {.count = 1, .reusable = true}}, SHIFT(133), + [8159] = {.entry = {.count = 1, .reusable = true}}, SHIFT(134), + [8161] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6559), + [8163] = {.entry = {.count = 1, .reusable = true}}, SHIFT(182), + [8165] = {.entry = {.count = 1, .reusable = true}}, SHIFT(174), + [8167] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2618), + [8169] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2612), + [8171] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Repeated_SERE, 1), + [8173] = {.entry = {.count = 1, .reusable = true}}, SHIFT(404), + [8175] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4821), + [8177] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Sequence, 1), + [8179] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__PSL_Compound_SERE, 1, .dynamic_precedence = 1), + [8181] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym__PSL_Compound_SERE, 1, .dynamic_precedence = 1), REDUCE(sym__PSL_Sequence, 1), + [8184] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Compound_SERE, 1, .dynamic_precedence = 1), + [8186] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Count, 3), + [8188] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Count, 3, .production_id = 68), + [8190] = {.entry = {.count = 1, .reusable = false}}, SHIFT(5869), + [8192] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1429), + [8194] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1407), + [8196] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3565), + [8198] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3566), + [8200] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_pathname_element, 1, .production_id = 41), + [8202] = {.entry = {.count = 1, .reusable = true}}, SHIFT(560), + [8204] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5461), + [8206] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_instantiation_list, 1), + [8208] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_signal_list_repeat1, 2), SHIFT_REPEAT(3818), + [8211] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_record_resolution, 3), + [8213] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_record_resolution, 3), + [8215] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parenthesized_resolution, 3), + [8217] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_parenthesized_resolution, 3), + [8219] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Repeated_SERE, 2), + [8221] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_PSL_Compound_SERE_And_repeat1, 2, .production_id = 69), SHIFT_REPEAT(133), + [8224] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Compound_SERE_And_repeat1, 2, .production_id = 69), + [8226] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Compound_SERE_And_repeat1, 2, .production_id = 69), SHIFT_REPEAT(133), + [8229] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_PSL_Compound_SERE_Within_repeat1, 2, .production_id = 69), + [8231] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Compound_SERE_Within_repeat1, 2, .production_id = 69), + [8233] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Compound_SERE_Within_repeat1, 2, .production_id = 69), SHIFT_REPEAT(134), + [8236] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Repeated_SERE, 2, .production_id = 47), + [8238] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Compound_SERE_And, 2, .production_id = 31), + [8240] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1447), + [8242] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Compound_SERE_Within, 2, .production_id = 31), + [8244] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Compound_SERE_Within, 2, .production_id = 31), + [8246] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signal_interface_declaration, 4, .dynamic_precedence = 1), + [8248] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5655), + [8250] = {.entry = {.count = 1, .reusable = true}}, SHIFT(800), + [8252] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1455), + [8254] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signal_interface_declaration, 5, .dynamic_precedence = 1), + [8256] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Clocked_SERE, 5), + [8258] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Count, 2), + [8260] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3528), + [8262] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Count, 4, .production_id = 68), + [8264] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_record_resolution, 4), + [8266] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_record_resolution, 4), + [8268] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3579), + [8270] = {.entry = {.count = 3, .reusable = true}}, REDUCE(sym_constant_interface_declaration, 3, .dynamic_precedence = 3), REDUCE(sym_signal_interface_declaration, 3, .dynamic_precedence = 1), REDUCE(sym_variable_interface_declaration, 3, .dynamic_precedence = 2), + [8274] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__constant_mode, 1, .dynamic_precedence = -3), + [8276] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__constant_mode, 1, .dynamic_precedence = -3), + [8278] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5266), + [8280] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4983), + [8282] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2741), + [8284] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5158), + [8286] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1710), + [8288] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4968), + [8290] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__component_specification, 3, .production_id = 62), + [8292] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1449), + [8294] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4891), + [8296] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1452), + [8298] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4904), + [8300] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3572), + [8302] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6409), + [8304] = {.entry = {.count = 1, .reusable = true}}, SHIFT(147), + [8306] = {.entry = {.count = 1, .reusable = true}}, SHIFT(859), + [8308] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4973), + [8310] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1356), + [8312] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6410), + [8314] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5261), + [8316] = {.entry = {.count = 1, .reusable = true}}, SHIFT(591), + [8318] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4970), + [8320] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1347), + [8322] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4360), + [8324] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4042), + [8326] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4041), + [8328] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6696), + [8330] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6612), + [8332] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2514), + [8334] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5125), + [8336] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1069), + [8338] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5033), + [8340] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6509), + [8342] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2749), + [8344] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5095), + [8346] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6510), + [8348] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4049), + [8350] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4048), + [8352] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2735), + [8354] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5086), + [8356] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4055), + [8358] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4053), + [8360] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4068), + [8362] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4067), + [8364] = {.entry = {.count = 3, .reusable = true}}, REDUCE(sym__constant_mode, 1, .dynamic_precedence = -3), REDUCE(sym__variable_mode, 1, .dynamic_precedence = 1), REDUCE(sym__signal_mode, 1, .dynamic_precedence = 1), + [8368] = {.entry = {.count = 3, .reusable = false}}, REDUCE(sym__constant_mode, 1, .dynamic_precedence = -3), REDUCE(sym__variable_mode, 1, .dynamic_precedence = 1), REDUCE(sym__signal_mode, 1, .dynamic_precedence = 1), + [8372] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__signal_mode, 1, .dynamic_precedence = 1), + [8374] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__signal_mode, 1, .dynamic_precedence = 1), + [8376] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2720), + [8378] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6722), + [8380] = {.entry = {.count = 3, .reusable = true}}, REDUCE(sym__constant_mode, 1, .dynamic_precedence = 1), REDUCE(sym__variable_mode, 1, .dynamic_precedence = 1), REDUCE(sym__signal_mode, 1, .dynamic_precedence = 1), + [8384] = {.entry = {.count = 3, .reusable = false}}, REDUCE(sym__constant_mode, 1, .dynamic_precedence = 1), REDUCE(sym__variable_mode, 1, .dynamic_precedence = 1), REDUCE(sym__signal_mode, 1, .dynamic_precedence = 1), + [8388] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__constant_mode, 1, .dynamic_precedence = 1), + [8390] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__constant_mode, 1, .dynamic_precedence = 1), + [8392] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3517), + [8394] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5079), + [8396] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4071), + [8398] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4069), + [8400] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signature, 4), + [8402] = {.entry = {.count = 3, .reusable = true}}, REDUCE(sym__constant_mode, 1, .dynamic_precedence = -3), REDUCE(sym__variable_mode, 1, .dynamic_precedence = -2), REDUCE(sym__signal_mode, 1, .dynamic_precedence = 1), + [8406] = {.entry = {.count = 3, .reusable = false}}, REDUCE(sym__constant_mode, 1, .dynamic_precedence = -3), REDUCE(sym__variable_mode, 1, .dynamic_precedence = -2), REDUCE(sym__signal_mode, 1, .dynamic_precedence = 1), + [8410] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4064), + [8412] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4066), + [8414] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1501), + [8416] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4935), + [8418] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1478), + [8420] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4907), + [8422] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2739), + [8424] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6684), + [8426] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5075), + [8428] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_PSL_Parameterized_SERE, 7, .production_id = 278), + [8430] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Parameterized_SERE, 7, .production_id = 278), + [8432] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2688), + [8434] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4914), + [8436] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3510), + [8438] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4971), + [8440] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_record_type_definition_repeat1, 2), SHIFT_REPEAT(5399), + [8443] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_record_type_definition_repeat1, 2), + [8445] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_record_type_definition_repeat1, 2), SHIFT_REPEAT(5400), + [8448] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1268), + [8450] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5026), + [8452] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1499), + [8454] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4918), + [8456] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4763), + [8458] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__variable_mode, 1, .dynamic_precedence = 1), + [8460] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__variable_mode, 1, .dynamic_precedence = 1), + [8462] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__variable_mode, 1, .dynamic_precedence = -2), + [8464] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__variable_mode, 1, .dynamic_precedence = -2), + [8466] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4040), + [8468] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4039), + [8470] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 5, .production_id = 71), + [8472] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2481), + [8474] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4915), + [8476] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1248), + [8478] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4903), + [8480] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4056), + [8482] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4059), + [8484] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5706), + [8486] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5707), + [8488] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3509), + [8490] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1083), + [8492] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5022), + [8494] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1306), + [8496] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1039), + [8498] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5170), + [8500] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Union_Expression, 3, .production_id = 156), + [8502] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3555), + [8504] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5178), + [8506] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4767), + [8508] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Union_Expression, 3, .production_id = 68), + [8510] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1577), + [8512] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4922), + [8514] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7200), + [8516] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signature, 2), + [8518] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6356), + [8520] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7203), + [8522] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1719), + [8524] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5057), + [8526] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1731), + [8528] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4949), + [8530] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4862), + [8532] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1271), + [8534] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3558), + [8536] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6621), + [8538] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6623), + [8540] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4857), + [8542] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1256), + [8544] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1741), + [8546] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5131), + [8548] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 4, .production_id = 33), + [8550] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1312), + [8552] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5018), + [8554] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1738), + [8556] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4882), + [8558] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1646), + [8560] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5119), + [8562] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1725), + [8564] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4881), + [8566] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 4, .production_id = 32), + [8568] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 4, .production_id = 33), + [8570] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1717), + [8572] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6370), + [8574] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1664), + [8576] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5067), + [8578] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1050), + [8580] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4979), + [8582] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4909), + [8584] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1076), + [8586] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4854), + [8588] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1163), + [8590] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3949), + [8592] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3957), + [8594] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6982), + [8596] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3540), + [8598] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6967), + [8600] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3956), + [8602] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3954), + [8604] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2636), + [8606] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3935), + [8608] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3944), + [8610] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2646), + [8612] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5175), + [8614] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1568), + [8616] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5136), + [8618] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3931), + [8620] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3933), + [8622] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 5, .production_id = 70), + [8624] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 5, .production_id = 71), + [8626] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 5, .production_id = 70), + [8628] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2649), + [8630] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5174), + [8632] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7053), + [8634] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4942), + [8636] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1025), + [8638] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4974), + [8640] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1068), + [8642] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5000), + [8644] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1089), + [8646] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1334), + [8648] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5011), + [8650] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3516), + [8652] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4905), + [8654] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5042), + [8656] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1134), + [8658] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 4, .production_id = 34), + [8660] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1354), + [8662] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5009), + [8664] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 4, .production_id = 35), + [8666] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5167), + [8668] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 4, .production_id = 34), + [8670] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 4, .production_id = 35), + [8672] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1457), + [8674] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5017), + [8676] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1236), + [8678] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1464), + [8680] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5024), + [8682] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3513), + [8684] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4955), + [8686] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5007), + [8688] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1403), + [8690] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3544), + [8692] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5118), + [8694] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 3, .production_id = 12), + [8696] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 3, .production_id = 13), + [8698] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4852), + [8700] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1245), + [8702] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6577), + [8704] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4933), + [8706] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1208), + [8708] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 3, .production_id = 12), + [8710] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signature, 3), + [8712] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 3, .production_id = 13), + [8714] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1172), + [8716] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4988), + [8718] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1267), + [8720] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4892), + [8722] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4765), + [8724] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1733), + [8726] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5181), + [8728] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1744), + [8730] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5179), + [8732] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1281), + [8734] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4895), + [8736] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6900), + [8738] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1496), + [8740] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1164), + [8742] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4948), + [8744] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5006), + [8746] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1413), + [8748] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4744), + [8750] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__partial_pathname_repeat1, 2), SHIFT_REPEAT(5099), + [8753] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__partial_pathname_repeat1, 2), SHIFT_REPEAT(2191), + [8756] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1075), + [8758] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6085), + [8760] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1625), + [8762] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4998), + [8764] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1465), + [8766] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signature, 5), + [8768] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5605), + [8770] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sensitivity_list, 2), + [8772] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 4, .production_id = 32), + [8774] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3797), + [8776] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1410), + [8778] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5140), + [8780] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4996), + [8782] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1502), + [8784] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6907), + [8786] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1307), + [8788] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_function_parameter_clause, 4, .dynamic_precedence = -3), REDUCE(sym_function_parameter_clause, 4), + [8791] = {.entry = {.count = 1, .reusable = true}}, SHIFT(122), + [8793] = {.entry = {.count = 1, .reusable = true}}, SHIFT(125), + [8795] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3847), + [8797] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2393), + [8799] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1600), + [8801] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2265), + [8803] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1118), + [8805] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1116), + [8807] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1115), + [8809] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1539), + [8811] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2280), + [8813] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1544), + [8815] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_open, 1), + [8817] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2324), + [8819] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1882), + [8821] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2342), + [8823] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1512), + [8825] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2402), + [8827] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1506), + [8829] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1548), + [8831] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1532), + [8833] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_parameter_clause, 4, .dynamic_precedence = -3), + [8835] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1342), + [8837] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1105), + [8839] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5646), + [8841] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Hierarchical_HDL_Name, 1, .production_id = 74), + [8843] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5642), + [8845] = {.entry = {.count = 1, .reusable = false}}, SHIFT(5642), + [8847] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1876), + [8849] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1842), + [8851] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2349), + [8853] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2403), + [8855] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1072), + [8857] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3474), + [8859] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2238), + [8861] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1098), + [8863] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2276), + [8865] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1086), + [8867] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1797), + [8869] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1079), + [8871] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1798), + [8873] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1494), + [8875] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1878), + [8877] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1024), + [8879] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1426), + [8881] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1617), + [8883] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2235), + [8885] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1436), + [8887] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3536), + [8889] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1438), + [8891] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3539), + [8893] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2345), + [8895] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1021), + [8897] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1482), + [8899] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1460), + [8901] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1012), + [8903] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1584), + [8905] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2277), + [8907] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1489), + [8909] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1694), + [8911] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2761), + [8913] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2707), + [8915] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2703), + [8917] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2701), + [8919] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2700), + [8921] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1005), + [8923] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2699), + [8925] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1702), + [8927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2697), + [8929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(999), + [8931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2396), + [8933] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2614), + [8935] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1369), + [8937] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1357), + [8939] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2686), + [8941] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1329), + [8943] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2275), + [8945] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1740), + [8947] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1467), + [8949] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2469), + [8951] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2293), + [8953] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1121), + [8955] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1042), + [8957] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2281), + [8959] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1044), + [8961] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1047), + [8963] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1511), + [8965] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1645), + [8967] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3833), + [8969] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4720), + [8971] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1124), + [8973] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1125), + [8975] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_waveforms, 2), + [8977] = {.entry = {.count = 1, .reusable = true}}, SHIFT(606), + [8979] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1053), + [8981] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2269), + [8983] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1830), + [8985] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1315), + [8987] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1468), + [8989] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1309), + [8991] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3529), + [8993] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1667), + [8995] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2256), + [8997] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1088), + [8999] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1097), + [9001] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2278), + [9003] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2258), + [9005] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1541), + [9007] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2372), + [9009] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2259), + [9011] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_protected_type_body, 6), + [9013] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1303), + [9015] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2261), + [9017] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1149), + [9019] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_parameter_clause, 2), + [9021] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2384), + [9023] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2357), + [9025] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1563), + [9027] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_configuration_declaration_repeat1, 2), + [9029] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_configuration_declaration_repeat1, 2), SHIFT_REPEAT(6919), + [9032] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5318), + [9034] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1175), + [9036] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1879), + [9038] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5522), + [9040] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1537), + [9042] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2355), + [9044] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2241), + [9046] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2554), + [9048] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2548), + [9050] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1631), + [9052] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1261), + [9054] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1420), + [9056] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1419), + [9058] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1258), + [9060] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_procedure_parameter_clause, 3, .dynamic_precedence = -3), REDUCE(sym_procedure_parameter_clause, 3), + [9063] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3537), + [9065] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1343), + [9067] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_parameter_clause, 3, .dynamic_precedence = -3), + [9069] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1251), + [9071] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1252), + [9073] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_parameter_clause, 3), + [9075] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6121), + [9077] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5972), + [9079] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6118), + [9081] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_function_parameter_clause, 3, .dynamic_precedence = -3), REDUCE(sym_function_parameter_clause, 3), + [9084] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1662), + [9086] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1094), + [9088] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_parameter_clause, 2), + [9090] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3551), + [9092] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_physical_type_definition, 4), + [9094] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_parameter_clause, 3, .dynamic_precedence = -3), + [9096] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_parameter_clause, 3), + [9098] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2248), + [9100] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5336), + [9102] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2627), + [9104] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_protected_type_declaration, 4), + [9106] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5276), + [9108] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1148), + [9110] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1150), + [9112] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2512), + [9114] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2282), + [9116] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_record_type_definition, 4), + [9118] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1206), + [9120] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1213), + [9122] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1128), + [9124] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1174), + [9126] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1220), + [9128] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_parameter_clause, 4, .dynamic_precedence = -3), + [9130] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2590), + [9132] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5099), + [9134] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3494), + [9136] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2251), + [9138] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2347), + [9140] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4818), + [9142] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1674), + [9144] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1671), + [9146] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1670), + [9148] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2331), + [9150] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1573), + [9152] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1564), + [9154] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4595), + [9156] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2283), + [9158] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1232), + [9160] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3563), + [9162] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_parameter_clause, 5, .dynamic_precedence = -3), + [9164] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1237), + [9166] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1241), + [9168] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2334), + [9170] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2335), + [9172] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1666), + [9174] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4378), + [9176] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4380), + [9178] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1663), + [9180] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_protected_type_body, 5), + [9182] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2302), + [9184] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_physical_type_definition, 5), + [9186] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_physical_type_definition_repeat1, 2), SHIFT_REPEAT(6121), + [9189] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_physical_type_definition_repeat1, 2), + [9191] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_physical_type_definition_repeat1, 2), SHIFT_REPEAT(6118), + [9194] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2380), + [9196] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_function_parameter_clause, 5, .dynamic_precedence = -3), REDUCE(sym_function_parameter_clause, 5), + [9199] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1660), + [9201] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3532), + [9203] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1406), + [9205] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2375), + [9207] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1158), + [9209] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2270), + [9211] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4727), + [9213] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_record_type_definition, 3), + [9215] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2567), + [9217] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3527), + [9219] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_parameter_clause, 5, .dynamic_precedence = -3), + [9221] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_procedure_parameter_clause, 5, .dynamic_precedence = -3), REDUCE(sym_procedure_parameter_clause, 5), + [9224] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Built_In_Function_Call_repeat1, 2), + [9226] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1203), + [9228] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1654), + [9230] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2585), + [9232] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1648), + [9234] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1849), + [9236] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1644), + [9238] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1640), + [9240] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1151), + [9242] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2381), + [9244] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2286), + [9246] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2287), + [9248] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2648), + [9250] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_block_configuration_repeat1, 2), + [9252] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_block_configuration_repeat1, 2), SHIFT_REPEAT(3966), + [9255] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6742), + [9257] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1599), + [9259] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1375), + [9261] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_block_configuration_repeat2, 2), + [9263] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_block_configuration_repeat2, 2), SHIFT_REPEAT(4352), + [9266] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1381), + [9268] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_others, 1), + [9270] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1270), + [9272] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2299), + [9274] = {.entry = {.count = 1, .reusable = true}}, SHIFT(991), + [9276] = {.entry = {.count = 1, .reusable = true}}, SHIFT(995), + [9278] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2236), + [9280] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1383), + [9282] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1844), + [9284] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3853), + [9286] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1166), + [9288] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__PSL_Fusion_SERE_repeat1, 2, .production_id = 29), + [9290] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__PSL_Concat_SERE_repeat1, 2, .production_id = 29), + [9292] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3588), + [9294] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2363), + [9296] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2317), + [9298] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1008), + [9300] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2365), + [9302] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_waveforms, 1), + [9304] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_waveforms_repeat1, 2), + [9306] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_waveforms_repeat1, 2), SHIFT_REPEAT(606), + [9309] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2304), + [9311] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1282), + [9313] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2539), + [9315] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1169), + [9317] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2305), + [9319] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2369), + [9321] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2559), + [9323] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1483), + [9325] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1280), + [9327] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1476), + [9329] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1171), + [9331] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1244), + [9333] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2560), + [9335] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2594), + [9337] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_protected_type_declaration, 3), + [9339] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1868), + [9341] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2315), + [9343] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_if_statement_repeat1, 2), + [9345] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_if_statement_repeat1, 2), SHIFT_REPEAT(859), + [9348] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6122), + [9350] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2318), + [9352] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2306), + [9354] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2371), + [9356] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_physical_type_definition, 6), + [9358] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2603), + [9360] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2653), + [9362] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2654), + [9364] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2669), + [9366] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2672), + [9368] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1286), + [9370] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2713), + [9372] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2321), + [9374] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2673), + [9376] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_procedure_parameter_clause, 4, .dynamic_precedence = -3), REDUCE(sym_procedure_parameter_clause, 4), + [9379] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1234), + [9381] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1104), + [9383] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2710), + [9385] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1182), + [9387] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1082), + [9389] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2383), + [9391] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1796), + [9393] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1795), + [9395] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1186), + [9397] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_if_generate_statement_repeat1, 2), + [9399] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_if_generate_statement_repeat1, 2), SHIFT_REPEAT(591), + [9402] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1071), + [9404] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1202), + [9406] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1456), + [9408] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1229), + [9410] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1230), + [9412] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5259), + [9414] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1857), + [9416] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Formal_Parameter_repeat1, 2), + [9418] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Formal_Parameter_repeat1, 2), SHIFT_REPEAT(5821), + [9421] = {.entry = {.count = 1, .reusable = true}}, SHIFT(397), + [9423] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3568), + [9425] = {.entry = {.count = 1, .reusable = true}}, SHIFT(722), + [9427] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3758), + [9429] = {.entry = {.count = 1, .reusable = true}}, SHIFT(729), + [9431] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signal_interface_declaration, 6, .dynamic_precedence = 1), + [9433] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_case_generate_statement_repeat1, 2), + [9435] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_case_generate_statement_repeat1, 2), SHIFT_REPEAT(473), + [9438] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_signature_repeat1, 2), SHIFT_REPEAT(3920), + [9441] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_signature_repeat1, 2), + [9443] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_choices, 2, .production_id = 11), + [9445] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_waveforms, 3), + [9447] = {.entry = {.count = 1, .reusable = true}}, SHIFT(542), + [9449] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generate_statement_body, 6, .production_id = 166), + [9451] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_case_statement_repeat1, 2), + [9453] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_case_statement_repeat1, 2), SHIFT_REPEAT(496), + [9456] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6275), + [9458] = {.entry = {.count = 1, .reusable = true}}, SHIFT(496), + [9460] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__PSL_Concat_SERE_repeat1, 2, .production_id = 69), + [9462] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__PSL_Concat_SERE_repeat1, 2, .production_id = 69), SHIFT_REPEAT(125), + [9465] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__PSL_Fusion_SERE_repeat1, 2, .production_id = 69), SHIFT_REPEAT(122), + [9468] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__PSL_Fusion_SERE_repeat1, 2, .production_id = 69), + [9470] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1877), + [9472] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3561), + [9474] = {.entry = {.count = 1, .reusable = true}}, SHIFT(698), + [9476] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3790), + [9478] = {.entry = {.count = 1, .reusable = true}}, SHIFT(696), + [9480] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Formal_Parameter, 3, .production_id = 39), + [9482] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5821), + [9484] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_choices_repeat1, 2), + [9486] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_choices_repeat1, 2), SHIFT_REPEAT(503), + [9489] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1591), + [9491] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Hierarchical_HDL_Name_repeat1, 2, .production_id = 148), + [9493] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Hierarchical_HDL_Name_repeat1, 2, .production_id = 148), SHIFT_REPEAT(5642), + [9496] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_PSL_Hierarchical_HDL_Name_repeat1, 2, .production_id = 148), SHIFT_REPEAT(5642), + [9499] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Hierarchical_HDL_Name, 2, .production_id = 111), + [9501] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Formal_Parameter, 2, .production_id = 39), + [9503] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generate_statement_element, 4, .production_id = 149), + [9505] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generate_statement_element, 4, .production_id = 150), + [9507] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_class_entry, 1), + [9509] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_class, 1), + [9511] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5506), + [9513] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_expressions, 3, .production_id = 10), + [9515] = {.entry = {.count = 1, .reusable = true}}, SHIFT(850), + [9517] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6207), + [9519] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_expressions, 4, .production_id = 10), + [9521] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6206), + [9523] = {.entry = {.count = 1, .reusable = true}}, SHIFT(473), + [9525] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_expressions, 2, .production_id = 10), + [9527] = {.entry = {.count = 1, .reusable = true}}, SHIFT(690), + [9529] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Hierarchical_HDL_Name, 5, .production_id = 241), + [9531] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveforms, 4), + [9533] = {.entry = {.count = 1, .reusable = true}}, SHIFT(536), + [9535] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_choices, 2), + [9537] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_selected_waveforms, 3), + [9539] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_variable_interface_declaration, 5, .dynamic_precedence = 2), + [9541] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6350), + [9543] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6351), + [9545] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6349), + [9547] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_constant_interface_declaration, 5, .dynamic_precedence = 3), + [9549] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_file_interface_declaration, 5), + [9551] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generate_statement_body, 5), + [9553] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generate_statement_body, 5, .production_id = 121), + [9555] = {.entry = {.count = 1, .reusable = true}}, SHIFT(14), + [9557] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1874), + [9559] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_return, 3), + [9561] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1543), + [9563] = {.entry = {.count = 1, .reusable = true}}, SHIFT(778), + [9565] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3765), + [9567] = {.entry = {.count = 1, .reusable = true}}, SHIFT(780), + [9569] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3805), + [9571] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_conditional_expressions_repeat1, 2), + [9573] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_conditional_expressions_repeat1, 2), SHIFT_REPEAT(690), + [9576] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6438), + [9578] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_waveforms, 2), + [9580] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_waveform_element, 2, .production_id = 10), + [9582] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6745), + [9584] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1643), + [9586] = {.entry = {.count = 1, .reusable = true}}, SHIFT(433), + [9588] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6505), + [9590] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6507), + [9592] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6511), + [9594] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1809), + [9596] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_selected_expressions_repeat1, 2), + [9598] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_selected_expressions_repeat1, 2), SHIFT_REPEAT(850), + [9601] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_selected_waveforms_repeat1, 2), + [9603] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_selected_waveforms_repeat1, 2), SHIFT_REPEAT(536), + [9606] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Concat_SERE, 2, .production_id = 31), + [9608] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Fusion_SERE, 2, .production_id = 31), + [9610] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4876), + [9612] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5439), + [9614] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_pathname_element, 1), + [9616] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_expressions, 3, .production_id = 10), + [9618] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1549), + [9620] = {.entry = {.count = 1, .reusable = true}}, SHIFT(774), + [9622] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3775), + [9624] = {.entry = {.count = 1, .reusable = true}}, SHIFT(775), + [9626] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_relative_pathname_repeat1, 2), + [9628] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_relative_pathname_repeat1, 2), SHIFT_REPEAT(5297), + [9631] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1233), + [9633] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alternative_conditional_waveforms, 2), + [9635] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_conditional_waveforms_repeat1, 2), + [9637] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_conditional_waveforms_repeat1, 2), SHIFT_REPEAT(542), + [9640] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6023), + [9642] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Hierarchical_HDL_Name, 4, .production_id = 202), + [9644] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_designator, 1), + [9646] = {.entry = {.count = 1, .reusable = true}}, SHIFT(585), + [9648] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1488), + [9650] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3404), + [9652] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generate_statement_body, 4, .production_id = 181), + [9654] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6805), + [9656] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6804), + [9658] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6720), + [9660] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_return, 2), + [9662] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2641), + [9664] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6719), + [9666] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6703), + [9668] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6676), + [9670] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6660), + [9672] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6659), + [9674] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generate_statement_body, 3, .production_id = 135), + [9676] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6657), + [9678] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6626), + [9680] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5332), + [9682] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6606), + [9684] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6605), + [9686] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_variable_interface_declaration, 4, .dynamic_precedence = 2), + [9688] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_constant_interface_declaration, 4, .dynamic_precedence = 3), + [9690] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_file_interface_declaration, 4), + [9692] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_choices, 1), + [9694] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6643), + [9696] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_generate_statement_body, 4), + [9698] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1822), + [9700] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1139), + [9702] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_element_declaration, 4), + [9704] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_element_declaration, 4), + [9706] = {.entry = {.count = 1, .reusable = false}}, SHIFT(7288), + [9708] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5396), + [9710] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7287), + [9712] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_group_constituent_list_repeat1, 2), SHIFT_REPEAT(3815), + [9715] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1770), + [9717] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1859), + [9719] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5399), + [9721] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5781), + [9723] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2605), + [9725] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_unbounded_array_definition_repeat1, 2), + [9727] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_unbounded_array_definition_repeat1, 2), SHIFT_REPEAT(3857), + [9730] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_verification_unit_list_repeat1, 2), SHIFT_REPEAT(4000), + [9733] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2638), + [9735] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4189), + [9737] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4190), + [9739] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4203), + [9741] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4178), + [9743] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4184), + [9745] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4188), + [9747] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4127), + [9749] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4134), + [9751] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4139), + [9753] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4113), + [9755] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4117), + [9757] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4120), + [9759] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5879), + [9761] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5878), + [9763] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3705), + [9765] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4450), + [9767] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4440), + [9769] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3706), + [9771] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4423), + [9773] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4422), + [9775] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1779), + [9777] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1781), + [9779] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2873), + [9781] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3497), + [9783] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Value_Set_repeat1, 2), SHIFT_REPEAT(209), + [9786] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Value_Set_repeat1, 2), + [9788] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3505), + [9790] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Value_Set, 4), + [9792] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4126), + [9794] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4124), + [9796] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4122), + [9798] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1807), + [9800] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1856), + [9802] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5926), + [9804] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Inherit_Spec_repeat1, 2), SHIFT_REPEAT(3811), + [9807] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1337), + [9809] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Formal_Parameter_repeat1, 2, .production_id = 39), + [9811] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_constituent_list, 2), + [9813] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1838), + [9815] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1335), + [9817] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_entity_class_entry_list_repeat1, 2), + [9819] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_entity_class_entry_list_repeat1, 2), SHIFT_REPEAT(3795), + [9822] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2881), + [9824] = {.entry = {.count = 1, .reusable = true}}, SHIFT(474), + [9826] = {.entry = {.count = 1, .reusable = true}}, SHIFT(471), + [9828] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3581), + [9830] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3534), + [9832] = {.entry = {.count = 1, .reusable = true}}, SHIFT(857), + [9834] = {.entry = {.count = 1, .reusable = true}}, SHIFT(858), + [9836] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2170), + [9838] = {.entry = {.count = 1, .reusable = true}}, SHIFT(475), + [9840] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1812), + [9842] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2338), + [9844] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5324), + [9846] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2163), + [9848] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7158), + [9850] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2339), + [9852] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_primary_unit_declaration, 2, .production_id = 61), + [9854] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_primary_unit_declaration, 2, .production_id = 61), + [9856] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5835), + [9858] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_identifier_list, 1, .production_id = 14), + [9860] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_identifier_list, 1), + [9862] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_primary_unit_declaration, 2, .production_id = 60), + [9864] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_primary_unit_declaration, 2, .production_id = 60), + [9866] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5977), + [9868] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3857), + [9870] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1825), + [9872] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_enumeration_type_definition_repeat1, 2, .production_id = 194), + [9874] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_enumeration_type_definition_repeat1, 2, .production_id = 194), SHIFT_REPEAT(4742), + [9877] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_positional_association_element, 1, .dynamic_precedence = -1, .production_id = 54), SHIFT(686), + [9880] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_positional_association_element, 1, .dynamic_precedence = -1, .production_id = 54), SHIFT(475), + [9883] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3449), + [9885] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_record_constraint_repeat1, 2), + [9887] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_record_constraint_repeat1, 2), SHIFT_REPEAT(5324), + [9890] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_index_constraint_repeat1, 2), + [9892] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_index_constraint_repeat1, 2), SHIFT_REPEAT(475), + [9895] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2209), + [9897] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4205), + [9899] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4207), + [9901] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4200), + [9903] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2288), + [9905] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1815), + [9907] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_verification_unit_list, 2), + [9909] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_verification_unit_binding_indication, 4), + [9911] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3471), + [9913] = {.entry = {.count = 1, .reusable = true}}, SHIFT(796), + [9915] = {.entry = {.count = 1, .reusable = true}}, SHIFT(797), + [9917] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2754), + [9919] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1656), + [9921] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5288), + [9923] = {.entry = {.count = 1, .reusable = true}}, SHIFT(16), + [9925] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1298), + [9927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7069), + [9929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7067), + [9931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1789), + [9933] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1687), + [9935] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1424), + [9937] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2724), + [9939] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1339), + [9941] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__port_interface_list_repeat1, 2), SHIFT_REPEAT(3706), + [9944] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__port_interface_list_repeat1, 2), + [9946] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2711), + [9948] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1800), + [9950] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2951), + [9952] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2954), + [9954] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3521), + [9956] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_logical_name_list, 1, .production_id = 24), + [9958] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5523), + [9960] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3475), + [9962] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1560), + [9964] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1626), + [9966] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2953), + [9968] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2955), + [9970] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1624), + [9972] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_elsif_generate, 5, .production_id = 79), + [9974] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__generic_interface_list_repeat1, 2), SHIFT_REPEAT(3705), + [9977] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__generic_interface_list_repeat1, 2), + [9979] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3485), + [9981] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3417), + [9983] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3435), + [9985] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3406), + [9987] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4347), + [9989] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4345), + [9991] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3462), + [9993] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5760), + [9995] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_instantiation_list, 2), + [9997] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Actual_Parameter_List_repeat1, 2), + [9999] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Actual_Parameter_List_repeat1, 2), SHIFT_REPEAT(30), + [10002] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4384), + [10004] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4385), + [10006] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4387), + [10008] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4388), + [10010] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2635), + [10012] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1753), + [10014] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1755), + [10016] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Hierarchical_HDL_Name_repeat1, 2, .production_id = 147), + [10018] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_PSL_Hierarchical_HDL_Name_repeat1, 2, .production_id = 147), + [10020] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Built_In_Function_Call_repeat1, 2), SHIFT_REPEAT(214), + [10023] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6972), + [10025] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_identifier_list, 2, .production_id = 14), + [10027] = {.entry = {.count = 1, .reusable = true}}, SHIFT(209), + [10029] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5372), + [10031] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_identifier_list, 2), + [10033] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Value_Set, 3), + [10035] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2771), + [10037] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5770), + [10039] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5771), + [10041] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Formal_Parameter_List_repeat1, 2), SHIFT_REPEAT(4085), + [10044] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Formal_Parameter_List_repeat1, 2), + [10046] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_class_entry_list, 2), + [10048] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3795), + [10050] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1848), + [10052] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_entity_name_list_repeat1, 2), SHIFT_REPEAT(4326), + [10055] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_entity_name_list_repeat1, 2), + [10057] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6928), + [10059] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5481), + [10061] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6929), + [10063] = {.entry = {.count = 1, .reusable = true}}, SHIFT(977), + [10065] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signal_list, 2), + [10067] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6836), + [10069] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1828), + [10071] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__partial_pathname, 1, .production_id = 40), + [10073] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4690), + [10075] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1057), + [10077] = {.entry = {.count = 1, .reusable = true}}, SHIFT(951), + [10079] = {.entry = {.count = 1, .reusable = true}}, SHIFT(950), + [10081] = {.entry = {.count = 1, .reusable = true}}, SHIFT(998), + [10083] = {.entry = {.count = 1, .reusable = true}}, SHIFT(534), + [10085] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5292), + [10087] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6132), + [10089] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5610), + [10091] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Parameters_Definition, 1), + [10093] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Simple_SERE, 1, .production_id = 48), + [10095] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1819), + [10097] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3718), + [10099] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5035), + [10101] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6134), + [10103] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4742), + [10105] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3719), + [10107] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5053), + [10109] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2137), + [10111] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2378), + [10113] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5069), + [10115] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__function_parameter_list_repeat1, 2), SHIFT_REPEAT(3719), + [10118] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__function_parameter_list_repeat1, 2), + [10120] = {.entry = {.count = 1, .reusable = true}}, SHIFT(413), + [10122] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5080), + [10124] = {.entry = {.count = 1, .reusable = true}}, SHIFT(438), + [10126] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5723), + [10128] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_logical_name_list, 2, .production_id = 53), + [10130] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_context_list, 2), + [10132] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5081), + [10134] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__procedure_parameter_list_repeat1, 2), SHIFT_REPEAT(3718), + [10137] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__procedure_parameter_list_repeat1, 2), + [10139] = {.entry = {.count = 1, .reusable = true}}, SHIFT(395), + [10141] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 6, .production_id = 71), + [10143] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_association_list, 1), + [10145] = {.entry = {.count = 1, .reusable = true}}, SHIFT(426), + [10147] = {.entry = {.count = 1, .reusable = true}}, SHIFT(580), + [10149] = {.entry = {.count = 1, .reusable = true}}, SHIFT(383), + [10151] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 6, .production_id = 70), + [10153] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_unaffected, 1), + [10155] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1275), + [10157] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 6, .production_id = 71), + [10159] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1311), + [10161] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1314), + [10163] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 6, .production_id = 70), + [10165] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1324), + [10167] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__element_association_list_repeat1, 2), + [10169] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__element_association_list_repeat1, 2), SHIFT_REPEAT(465), + [10172] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1328), + [10174] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6816), + [10176] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1145), + [10178] = {.entry = {.count = 1, .reusable = true}}, SHIFT(932), + [10180] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_record_resolution_repeat1, 2), + [10182] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_record_resolution_repeat1, 2), SHIFT_REPEAT(5265), + [10185] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_elsif_generate, 4, .production_id = 22), + [10187] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1283), + [10189] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1293), + [10191] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2524), + [10193] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1370), + [10195] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_elsif, 4, .production_id = 22), + [10197] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1397), + [10199] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_instantiation_list_repeat1, 2), SHIFT_REPEAT(5461), + [10202] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_instantiation_list_repeat1, 2), + [10204] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4649), + [10206] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5265), + [10208] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_choices_repeat1, 2), SHIFT_REPEAT(518), + [10211] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__element_association_list, 3), + [10213] = {.entry = {.count = 1, .reusable = true}}, SHIFT(465), + [10215] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_expression_list_repeat1, 2), + [10217] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_expression_list_repeat1, 2), SHIFT_REPEAT(884), + [10220] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_association_list_repeat1, 2), + [10222] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_association_list_repeat1, 2), SHIFT_REPEAT(426), + [10225] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3850), + [10227] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_interface_declaration, 1, .production_id = 25), + [10229] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3851), + [10231] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_interface_declaration, 1, .production_id = 25), + [10233] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4994), + [10235] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4997), + [10237] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 4, .production_id = 12), + [10239] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 4, .production_id = 13), + [10241] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5004), + [10243] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5013), + [10245] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 4, .production_id = 12), + [10247] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 4, .production_id = 13), + [10249] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1441), + [10251] = {.entry = {.count = 1, .reusable = true}}, SHIFT(986), + [10253] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3458), + [10255] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3428), + [10257] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_identifier_list_repeat1, 2), SHIFT_REPEAT(5835), + [10260] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_identifier_list_repeat1, 2), + [10262] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1493), + [10264] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1500), + [10266] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1527), + [10268] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4326), + [10270] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_name_list, 1), + [10272] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3461), + [10274] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3441), + [10276] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4085), + [10278] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Formal_Parameter_List, 1), + [10280] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate, 5, .production_id = 79), + [10282] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1566), + [10284] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__partial_pathname, 2, .production_id = 77), + [10286] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1593), + [10288] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_use_clause_repeat1, 2), SHIFT_REPEAT(3960), + [10291] = {.entry = {.count = 1, .reusable = false}}, SHIFT(6285), + [10293] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6285), + [10295] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Actual_Parameter_List, 2), + [10297] = {.entry = {.count = 1, .reusable = true}}, SHIFT(30), + [10299] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Parameters_Definition, 2), + [10301] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_boolean, 1), + [10303] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3793), + [10305] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Value_Set, 1), + [10307] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3798), + [10309] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Actual_Parameter_List, 1), + [10311] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1792), + [10313] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_secondary_unit_declaration, 4, .production_id = 61), + [10315] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_secondary_unit_declaration, 4, .production_id = 61), + [10317] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1794), + [10319] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_secondary_unit_declaration, 4, .production_id = 303), + [10321] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_secondary_unit_declaration, 4, .production_id = 303), + [10323] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_secondary_unit_declaration, 4, .production_id = 60), + [10325] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_secondary_unit_declaration, 4, .production_id = 60), + [10327] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1810), + [10329] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5602), + [10331] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_secondary_unit_declaration, 4, .production_id = 302), + [10333] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_secondary_unit_declaration, 4, .production_id = 302), + [10335] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if, 4, .production_id = 22), + [10337] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_if_generate, 4, .production_id = 22), + [10339] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5486), + [10341] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3413), + [10343] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3438), + [10345] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3442), + [10347] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_PSL_Parameters_Definition_repeat1, 2), SHIFT_REPEAT(5610), + [10350] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_PSL_Parameters_Definition_repeat1, 2), + [10352] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3450), + [10354] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3451), + [10356] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5825), + [10358] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_logical_name_list_repeat1, 2, .production_id = 92), + [10360] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_logical_name_list_repeat1, 2, .production_id = 92), SHIFT_REPEAT(5523), + [10363] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5478), + [10365] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_association_list, 2), + [10367] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6320), + [10369] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Formal_Parameter_List, 2), + [10371] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_class_entry_list, 1), + [10373] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_name_list, 2), + [10375] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_expression_list, 2, .production_id = 10), + [10377] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1619), + [10379] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1675), + [10381] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1570), + [10383] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1677), + [10385] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signal_kind, 1), + [10387] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6359), + [10389] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 5, .production_id = 35), + [10391] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 5, .production_id = 34), + [10393] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4873), + [10395] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4849), + [10397] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1534), + [10399] = {.entry = {.count = 1, .reusable = true}}, SHIFT(676), + [10401] = {.entry = {.count = 1, .reusable = true}}, SHIFT(675), + [10403] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1440), + [10405] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 5, .production_id = 35), + [10407] = {.entry = {.count = 1, .reusable = true}}, SHIFT(391), + [10409] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1190), + [10411] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_waveforms_repeat1, 2), SHIFT_REPEAT(580), + [10414] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 5, .production_id = 34), + [10416] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5161), + [10418] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1776), + [10420] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_instantiation, 5, .production_id = 103), + [10422] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4682), + [10424] = {.entry = {.count = 1, .reusable = true}}, SHIFT(686), + [10426] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1634), + [10428] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__element_association_list, 4), + [10430] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 5, .production_id = 32), + [10432] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__procedure_specification, 5, .production_id = 33), + [10434] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 5, .production_id = 32), + [10436] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_specification, 5, .production_id = 33), + [10438] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5777), + [10440] = {.entry = {.count = 1, .reusable = true}}, SHIFT(352), + [10442] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_record_element_resolution, 2, .production_id = 104), + [10444] = {.entry = {.count = 1, .reusable = true}}, SHIFT(339), + [10446] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type_interface_declaration, 2, .production_id = 37), + [10448] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type_interface_declaration, 2, .production_id = 38), + [10450] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4396), + [10452] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4399), + [10454] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6690), + [10456] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4981), + [10458] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Temporal_Parameter_Specification, 1), + [10460] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4406), + [10462] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4407), + [10464] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4419), + [10466] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4424), + [10468] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4425), + [10470] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4426), + [10472] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6723), + [10474] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4951), + [10476] = {.entry = {.count = 1, .reusable = true}}, SHIFT(581), + [10478] = {.entry = {.count = 1, .reusable = true}}, SHIFT(399), + [10480] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4447), + [10482] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4442), + [10484] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4439), + [10486] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4436), + [10488] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4435), + [10490] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4433), + [10492] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4394), + [10494] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4393), + [10496] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__enumeration_literal, 1, .production_id = 106), + [10498] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__enumeration_literal, 1, .production_id = 107), + [10500] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4371), + [10502] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4368), + [10504] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4367), + [10506] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4366), + [10508] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_configuration, 5, .production_id = 299), + [10510] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6646), + [10512] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5113), + [10514] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4376), + [10516] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4370), + [10518] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4375), + [10520] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4369), + [10522] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6749), + [10524] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5088), + [10526] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_designator, 2), + [10528] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4476), + [10530] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4478), + [10532] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Constant_Parameter_Specification, 2), + [10534] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_HDL_Type, 2), + [10536] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4481), + [10538] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4486), + [10540] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4488), + [10542] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4482), + [10544] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4474), + [10546] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4364), + [10548] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6769), + [10550] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5144), + [10552] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_logical_name_list_repeat1, 2, .production_id = 91), + [10554] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6313), + [10556] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5083), + [10558] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Parameter_Specification, 3, .production_id = 18), + [10560] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6783), + [10562] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4877), + [10564] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6790), + [10566] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4866), + [10568] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6793), + [10570] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5183), + [10572] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_signal_interface_declaration, 7, .dynamic_precedence = 1), + [10574] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6937), + [10576] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5025), + [10578] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6526), + [10580] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6529), + [10582] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5101), + [10584] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__partial_pathname_repeat1, 2), + [10586] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6610), + [10588] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4893), + [10590] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5228), + [10592] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Type_Class, 1), + [10594] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__any, 1, .production_id = 123), + [10596] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alternative_selected_expressions, 4, .production_id = 36), + [10598] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_identifier_list_repeat1, 2, .production_id = 73), + [10600] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__type_definition, 1, .production_id = 72), + [10602] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5255), + [10604] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4473), + [10606] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4472), + [10608] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4471), + [10610] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4466), + [10612] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4453), + [10614] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4452), + [10616] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4449), + [10618] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4448), + [10620] = {.entry = {.count = 1, .reusable = true}}, SHIFT(455), + [10622] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_named_association_element, 3, .dynamic_precedence = 99, .production_id = 125), + [10624] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_named_association_element, 3, .dynamic_precedence = -1, .production_id = 125), + [10626] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_named_association_element, 3, .production_id = 125), + [10628] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5692), + [10630] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5693), + [10632] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6611), + [10634] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6608), + [10636] = {.entry = {.count = 1, .reusable = true}}, SHIFT(647), + [10638] = {.entry = {.count = 1, .reusable = true}}, SHIFT(386), + [10640] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4785), + [10642] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4782), + [10644] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_configuration, 6, .production_id = 299), + [10646] = {.entry = {.count = 1, .reusable = true}}, SHIFT(584), + [10648] = {.entry = {.count = 1, .reusable = true}}, SHIFT(405), + [10650] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parameter_specification, 3, .production_id = 61), + [10652] = {.entry = {.count = 1, .reusable = true}}, SHIFT(630), + [10654] = {.entry = {.count = 1, .reusable = true}}, SHIFT(325), + [10656] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parameter_specification, 3, .production_id = 60), + [10658] = {.entry = {.count = 1, .reusable = true}}, SHIFT(304), + [10660] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6819), + [10662] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4894), + [10664] = {.entry = {.count = 1, .reusable = true}}, SHIFT(310), + [10666] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3820), + [10668] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3789), + [10670] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3899), + [10672] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3900), + [10674] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_record_element_constraint, 2, .production_id = 104), + [10676] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3669), + [10678] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6499), + [10680] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3670), + [10682] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6498), + [10684] = {.entry = {.count = 1, .reusable = true}}, SHIFT(60), + [10686] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4012), + [10688] = {.entry = {.count = 1, .reusable = true}}, SHIFT(176), + [10690] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4011), + [10692] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7113), + [10694] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5173), + [10696] = {.entry = {.count = 1, .reusable = true}}, SHIFT(593), + [10698] = {.entry = {.count = 1, .reusable = true}}, SHIFT(335), + [10700] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_for_loop, 2), + [10702] = {.entry = {.count = 1, .reusable = true}}, SHIFT(13), + [10704] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_pathname, 7, .production_id = 276), + [10706] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_package_pathname_repeat1, 2, .production_id = 242), + [10708] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_positional_association_element, 1, .production_id = 54), + [10710] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_procedure_interface_declaration, 3, .production_id = 25), + [10712] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_positional_association_element, 1, .dynamic_precedence = -1, .production_id = 54), + [10714] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_interface_declaration, 3, .production_id = 25), + [10716] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__procedure_parameter_list_repeat1, 2, .dynamic_precedence = -3), REDUCE(aux_sym__procedure_parameter_list_repeat1, 2), + [10719] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__procedure_parameter_list_repeat1, 2, .dynamic_precedence = -3), + [10721] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_positional_association_element, 1, .dynamic_precedence = 99, .production_id = 54), + [10723] = {.entry = {.count = 1, .reusable = true}}, SHIFT(587), + [10725] = {.entry = {.count = 1, .reusable = true}}, SHIFT(406), + [10727] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_configuration, 5, .production_id = 28), + [10729] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__function_parameter_list_repeat1, 2, .dynamic_precedence = -3), REDUCE(aux_sym__function_parameter_list_repeat1, 2), + [10732] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__function_parameter_list_repeat1, 2, .dynamic_precedence = -3), + [10734] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6760), + [10736] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4930), + [10738] = {.entry = {.count = 1, .reusable = true}}, SHIFT(600), + [10740] = {.entry = {.count = 1, .reusable = true}}, SHIFT(417), + [10742] = {.entry = {.count = 1, .reusable = true}}, SHIFT(633), + [10744] = {.entry = {.count = 1, .reusable = true}}, SHIFT(392), + [10746] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5864), + [10748] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5865), + [10750] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_block_configuration, 7, .production_id = 299), + [10752] = {.entry = {.count = 1, .reusable = true}}, SHIFT(632), + [10754] = {.entry = {.count = 1, .reusable = true}}, SHIFT(398), + [10756] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_configuration, 7, .production_id = 28), + [10758] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alternative_selected_waveforms, 4), + [10760] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_variable_interface_declaration, 6, .dynamic_precedence = 2), + [10762] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6931), + [10764] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6932), + [10766] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_constant_interface_declaration, 6, .dynamic_precedence = 3), + [10768] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_file_interface_declaration, 6), + [10770] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_class_entry, 2), + [10772] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_interface_declaration, 6, .production_id = 191), + [10774] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_configuration, 6, .production_id = 28), + [10776] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5380), + [10778] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_interface_declaration, 6, .production_id = 189), + [10780] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5377), + [10782] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6093), + [10784] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4874), + [10786] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Parameter_Specification, 4, .production_id = 18), + [10788] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5776), + [10790] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_index_subtype_definition, 3), + [10792] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5744), + [10794] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5743), + [10796] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4741), + [10798] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4722), + [10800] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_component_configuration, 8, .production_id = 28), + [10802] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6941), + [10804] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6940), + [10806] = {.entry = {.count = 1, .reusable = true}}, SHIFT(338), + [10808] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2506), + [10810] = {.entry = {.count = 1, .reusable = true}}, SHIFT(346), + [10812] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2564), + [10814] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6948), + [10816] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6946), + [10818] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__generic_interface_list_repeat1, 2, .dynamic_precedence = -3), REDUCE(aux_sym__generic_interface_list_repeat1, 2), + [10821] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__generic_interface_list_repeat1, 2, .dynamic_precedence = -3), + [10823] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__port_interface_list_repeat1, 2, .dynamic_precedence = -3), + [10825] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__port_interface_list_repeat1, 2, .dynamic_precedence = -3), REDUCE(aux_sym__port_interface_list_repeat1, 2), + [10828] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4489), + [10830] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4490), + [10832] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4491), + [10834] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4492), + [10836] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4494), + [10838] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4498), + [10840] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4497), + [10842] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4496), + [10844] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4458), + [10846] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4457), + [10848] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4456), + [10850] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4451), + [10852] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4446), + [10854] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4445), + [10856] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4443), + [10858] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4441), + [10860] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6950), + [10862] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6949), + [10864] = {.entry = {.count = 1, .reusable = true}}, SHIFT(645), + [10866] = {.entry = {.count = 1, .reusable = true}}, SHIFT(385), + [10868] = {.entry = {.count = 1, .reusable = true}}, SHIFT(579), + [10870] = {.entry = {.count = 1, .reusable = true}}, SHIFT(332), + [10872] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3808), + [10874] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2675), + [10876] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3804), + [10878] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2677), + [10880] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4520), + [10882] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4526), + [10884] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3693), + [10886] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7041), + [10888] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3691), + [10890] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7042), + [10892] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__loop_label, 1, .production_id = 23), + [10894] = {.entry = {.count = 1, .reusable = true}}, SHIFT(184), + [10896] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3961), + [10898] = {.entry = {.count = 1, .reusable = true}}, SHIFT(44), + [10900] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3962), + [10902] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7119), + [10904] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3948), + [10906] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7124), + [10908] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3963), + [10910] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3910), + [10912] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3905), + [10914] = {.entry = {.count = 2, .reusable = true}}, REDUCE(sym_positional_association_element, 1, .dynamic_precedence = 99, .production_id = 54), SHIFT(691), + [10917] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7181), + [10919] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4034), + [10921] = {.entry = {.count = 3, .reusable = true}}, REDUCE(sym_constant_interface_declaration, 4, .dynamic_precedence = 3), REDUCE(sym_signal_interface_declaration, 4, .dynamic_precedence = 1), REDUCE(sym_variable_interface_declaration, 4, .dynamic_precedence = 2), + [10925] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_enumeration_type_definition_repeat1, 2, .production_id = 143), + [10927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7182), + [10929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4036), + [10931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3819), + [10933] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3751), + [10935] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__alias_designator, 1, .production_id = 16), + [10937] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__alias_designator, 1, .production_id = 15), + [10939] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3803), + [10941] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1030), + [10943] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3807), + [10945] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1032), + [10947] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__element_association_list, 1), + [10949] = {.entry = {.count = 1, .reusable = true}}, SHIFT(467), + [10951] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7304), + [10953] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7303), + [10955] = {.entry = {.count = 1, .reusable = true}}, SHIFT(18), + [10957] = {.entry = {.count = 1, .reusable = true}}, SHIFT(588), + [10959] = {.entry = {.count = 1, .reusable = true}}, SHIFT(326), + [10961] = {.entry = {.count = 1, .reusable = true}}, SHIFT(295), + [10963] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1366), + [10965] = {.entry = {.count = 1, .reusable = true}}, SHIFT(294), + [10967] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1368), + [10969] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5448), + [10971] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5345), + [10973] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5969), + [10975] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5072), + [10977] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5447), + [10979] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5421), + [10981] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5871), + [10983] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_statement_alternative, 4), + [10985] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5873), + [10987] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4455), + [10989] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4454), + [10991] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4430), + [10993] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4429), + [10995] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4428), + [10997] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4427), + [10999] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4420), + [11001] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4417), + [11003] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alternative_conditional_expressions, 3, .production_id = 36), + [11005] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alternative_conditional_waveforms, 3), + [11007] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5876), + [11009] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5874), + [11011] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4711), + [11013] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4707), + [11015] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_package_pathname_repeat1, 2, .production_id = 244), SHIFT_REPEAT(5548), + [11018] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5881), + [11020] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5884), + [11022] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_package_pathname, 6, .production_id = 243), + [11024] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7281), + [11026] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7277), + [11028] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5889), + [11030] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5888), + [11032] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_case_generate_alternative, 5), + [11034] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6406), + [11036] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5128), + [11038] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2477), + [11040] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1330), + [11042] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1326), + [11044] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1301), + [11046] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1299), + [11048] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1064), + [11050] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1061), + [11052] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1059), + [11054] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1058), + [11056] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1051), + [11058] = {.entry = {.count = 1, .reusable = true}}, SHIFT(985), + [11060] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5055), + [11062] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1034), + [11064] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1046), + [11066] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6527), + [11068] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5030), + [11070] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5031), + [11072] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5034), + [11074] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1113), + [11076] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6514), + [11078] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5051), + [11080] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6501), + [11082] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5054), + [11084] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1686), + [11086] = {.entry = {.count = 1, .reusable = true}}, SHIFT(434), + [11088] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1235), + [11090] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1239), + [11092] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1242), + [11094] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1243), + [11096] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1146), + [11098] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1338), + [11100] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1350), + [11102] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1358), + [11104] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1359), + [11106] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1262), + [11108] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1422), + [11110] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_file_open_information, 4, .production_id = 235), + [11112] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5151), + [11114] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_physical_type_definition, 5, .production_id = 166), + [11116] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_protected_type_declaration, 5, .production_id = 166), + [11118] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4965), + [11120] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_record_type_definition, 5, .production_id = 166), + [11122] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3781), + [11124] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5798), + [11126] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1635), + [11128] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1458), + [11130] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1463), + [11132] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1471), + [11134] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1353), + [11136] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1379), + [11138] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1382), + [11140] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1405), + [11142] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1473), + [11144] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1681), + [11146] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1698), + [11148] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1690), + [11150] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5208), + [11152] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1450), + [11154] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4740), + [11156] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1691), + [11158] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1678), + [11160] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4724), + [11162] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1652), + [11164] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6255), + [11166] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1642), + [11168] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4733), + [11170] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1598), + [11172] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4751), + [11174] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1040), + [11176] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1056), + [11178] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1078), + [11180] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1090), + [11182] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1095), + [11184] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1117), + [11186] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1240), + [11188] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1296), + [11190] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1344), + [11192] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1345), + [11194] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1362), + [11196] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1363), + [11198] = {.entry = {.count = 1, .reusable = true}}, SHIFT(267), + [11200] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6154), + [11202] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4896), + [11204] = {.entry = {.count = 1, .reusable = true}}, SHIFT(337), + [11206] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6111), + [11208] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4943), + [11210] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5005), + [11212] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1540), + [11214] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4689), + [11216] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1586), + [11218] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1620), + [11220] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1546), + [11222] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1443), + [11224] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1636), + [11226] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1160), + [11228] = {.entry = {.count = 1, .reusable = true}}, SHIFT(150), + [11230] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5166), + [11232] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1703), + [11234] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1726), + [11236] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1729), + [11238] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1730), + [11240] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1968), + [11242] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1969), + [11244] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1724), + [11246] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1723), + [11248] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1567), + [11250] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1722), + [11252] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1704), + [11254] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1696), + [11256] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1839), + [11258] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1618), + [11260] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1592), + [11262] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2266), + [11264] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1575), + [11266] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2263), + [11268] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1574), + [11270] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1538), + [11272] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1525), + [11274] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1521), + [11276] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1437), + [11278] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1516), + [11280] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1514), + [11282] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2340), + [11284] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1487), + [11286] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5949), + [11288] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5172), + [11290] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5950), + [11292] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1384), + [11294] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5163), + [11296] = {.entry = {.count = 1, .reusable = true}}, SHIFT(366), + [11298] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5944), + [11300] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5091), + [11302] = {.entry = {.count = 1, .reusable = true}}, SHIFT(437), + [11304] = {.entry = {.count = 1, .reusable = true}}, SHIFT(431), + [11306] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5162), + [11308] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1395), + [11310] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1431), + [11312] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1430), + [11314] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1031), + [11316] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1427), + [11318] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1843), + [11320] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1425), + [11322] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1411), + [11324] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1399), + [11326] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2348), + [11328] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1398), + [11330] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1396), + [11332] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2091), + [11334] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2081), + [11336] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2075), + [11338] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4953), + [11340] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1360), + [11342] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1737), + [11344] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2327), + [11346] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2350), + [11348] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2352), + [11350] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1349), + [11352] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2354), + [11354] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1713), + [11356] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1860), + [11358] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1673), + [11360] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2359), + [11362] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2361), + [11364] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1323), + [11366] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2364), + [11368] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1322), + [11370] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1668), + [11372] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1661), + [11374] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1318), + [11376] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1317), + [11378] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1316), + [11380] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2234), + [11382] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4959), + [11384] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2169), + [11386] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2385), + [11388] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2080), + [11390] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2103), + [11392] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2102), + [11394] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1249), + [11396] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3773), + [11398] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2386), + [11400] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2391), + [11402] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3772), + [11404] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5065), + [11406] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4958), + [11408] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2394), + [11410] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5975), + [11412] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5063), + [11414] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_protected_type_declaration, 4, .production_id = 121), + [11416] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5339), + [11418] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_record_type_definition, 4, .production_id = 121), + [11420] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_constrained_array_definition, 4, .production_id = 195), + [11422] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2397), + [11424] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3766), + [11426] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2398), + [11428] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_enumeration_type_definition, 4, .production_id = 193), + [11430] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6614), + [11432] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1216), + [11434] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1185), + [11436] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1184), + [11438] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1183), + [11440] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1173), + [11442] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1170), + [11444] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1167), + [11446] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1377), + [11448] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1127), + [11450] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1122), + [11452] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1114), + [11454] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1881), + [11456] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1029), + [11458] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1028), + [11460] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1026), + [11462] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1019), + [11464] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1013), + [11466] = {.entry = {.count = 1, .reusable = true}}, SHIFT(367), + [11468] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4940), + [11470] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2400), + [11472] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4939), + [11474] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2389), + [11476] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2373), + [11478] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6665), + [11480] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1048), + [11482] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5260), + [11484] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4937), + [11486] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6667), + [11488] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1065), + [11490] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1963), + [11492] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3802), + [11494] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1305), + [11496] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2323), + [11498] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4774), + [11500] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1380), + [11502] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1389), + [11504] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1408), + [11506] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1414), + [11508] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2961), + [11510] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2370), + [11512] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4775), + [11514] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1459), + [11516] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1462), + [11518] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1474), + [11520] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2322), + [11522] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3573), + [11524] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1594), + [11526] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3571), + [11528] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1612), + [11530] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1880), + [11532] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2872), + [11534] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2084), + [11536] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2071), + [11538] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1495), + [11540] = {.entry = {.count = 1, .reusable = true}}, SHIFT(262), + [11542] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2237), + [11544] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2952), + [11546] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2239), + [11548] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2087), + [11550] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2088), + [11552] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2089), + [11554] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4992), + [11556] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2240), + [11558] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1615), + [11560] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6021), + [11562] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4991), + [11564] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4784), + [11566] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1597), + [11568] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1043), + [11570] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4989), + [11572] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1219), + [11574] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2254), + [11576] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1231), + [11578] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1469), + [11580] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1629), + [11582] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1596), + [11584] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2267), + [11586] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1711), + [11588] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2268), + [11590] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1595), + [11592] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1655), + [11594] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1651), + [11596] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1609), + [11598] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1875), + [11600] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1580), + [11602] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1590), + [11604] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1579), + [11606] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1562), + [11608] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1553), + [11610] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1524), + [11612] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1497), + [11614] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1490), + [11616] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1484), + [11618] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1481), + [11620] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4911), + [11622] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1454), + [11624] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification, 4, .production_id = 114), + [11626] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2279), + [11628] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1518), + [11630] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2310), + [11632] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2284), + [11634] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2285), + [11636] = {.entry = {.count = 1, .reusable = true}}, SHIFT(505), + [11638] = {.entry = {.count = 1, .reusable = true}}, SHIFT(511), + [11640] = {.entry = {.count = 1, .reusable = true}}, SHIFT(327), + [11642] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6063), + [11644] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3552), + [11646] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4931), + [11648] = {.entry = {.count = 1, .reusable = true}}, SHIFT(296), + [11650] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3429), + [11652] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2966), + [11654] = {.entry = {.count = 1, .reusable = true}}, SHIFT(428), + [11656] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6069), + [11658] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4900), + [11660] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5710), + [11662] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3382), + [11664] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2886), + [11666] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2780), + [11668] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4898), + [11670] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3466), + [11672] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3412), + [11674] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3500), + [11676] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2877), + [11678] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2878), + [11680] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2870), + [11682] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1355), + [11684] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1872), + [11686] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1871), + [11688] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1762), + [11690] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1747), + [11692] = {.entry = {.count = 1, .reusable = true}}, SHIFT(9), + [11694] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1294), + [11696] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3523), + [11698] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1284), + [11700] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4843), + [11702] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3454), + [11704] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1266), + [11706] = {.entry = {.count = 1, .reusable = true}}, SHIFT(486), + [11708] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3370), + [11710] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3272), + [11712] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1246), + [11714] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3360), + [11716] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3403), + [11718] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3402), + [11720] = {.entry = {.count = 1, .reusable = true}}, SHIFT(123), + [11722] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1767), + [11724] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1768), + [11726] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1870), + [11728] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2478), + [11730] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2476), + [11732] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2475), + [11734] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__PSL_Extended_Ocurrence_FL_Property_Until_Specification, 4, .production_id = 113), + [11736] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2474), + [11738] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2752), + [11740] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2750), + [11742] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2473), + [11744] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2472), + [11746] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2471), + [11748] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6736), + [11750] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1480), + [11752] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2298), + [11754] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3158), + [11756] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3154), + [11758] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_PSL_Index_Range, 3), + [11760] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1409), + [11762] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1209), + [11764] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1988), + [11766] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1987), + [11768] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2300), + [11770] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2301), + [11772] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1394), + [11774] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1372), + [11776] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2897), + [11778] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2906), + [11780] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_file_open_information, 2), + [11782] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3587), + [11784] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5303), + [11786] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2311), + [11788] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3582), + [11790] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3583), + [11792] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1869), + [11794] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6102), + [11796] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1049), + [11798] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6104), + [11800] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1867), + [11802] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2491), + [11804] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2319), + [11806] = {.entry = {.count = 1, .reusable = true}}, SHIFT(989), + [11808] = {.entry = {.count = 1, .reusable = true}}, SHIFT(990), + [11810] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2341), + [11812] = {.entry = {.count = 1, .reusable = true}}, SHIFT(992), + [11814] = {.entry = {.count = 1, .reusable = true}}, SHIFT(993), + [11816] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3515), + [11818] = {.entry = {.count = 1, .reusable = true}}, SHIFT(996), + [11820] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1616), + [11822] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1866), + [11824] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3520), + [11826] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_entity_specification, 3), + [11828] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1865), + [11830] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1864), + [11832] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1016), + [11834] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3522), + [11836] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1017), + [11838] = {.entry = {.count = 1, .reusable = true}}, SHIFT(598), + [11840] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1156), + [11842] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5398), + [11844] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5402), + [11846] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5012), + [11848] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5021), + [11850] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6125), + [11852] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6126), + [11854] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_file_type_definition, 3), + [11856] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5029), + [11858] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_unbounded_array_definition, 6, .production_id = 274), + [11860] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2351), + [11862] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_enumeration_type_definition, 3, .production_id = 143), + [11864] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1037), + [11866] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1041), + [11868] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1054), + [11870] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2495), + [11872] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1063), + [11874] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1067), + [11876] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1070), + [11878] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1073), + [11880] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1074), + [11882] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1077), + [11884] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5032), + [11886] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1081), + [11888] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2509), + [11890] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_protected_type_body, 6, .production_id = 182), + [11892] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_physical_type_definition, 6, .production_id = 182), + [11894] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5627), + [11896] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2518), + [11898] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1091), + [11900] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1093), + [11902] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2520), + [11904] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2523), + [11906] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2525), + [11908] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2526), + [11910] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2528), + [11912] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2529), + [11914] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2530), + [11916] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2531), + [11918] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2532), + [11920] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5623), + [11922] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3939), + [11924] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3938), + [11926] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1129), + [11928] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5622), + [11930] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5620), + [11932] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2537), + [11934] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2538), + [11936] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2540), + [11938] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2541), + [11940] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5071), + [11942] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2542), + [11944] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2543), + [11946] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2544), + [11948] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2545), + [11950] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2374), + [11952] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1290), + [11954] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2368), + [11956] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1152), + [11958] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4995), + [11960] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4984), + [11962] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5309), + [11964] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5415), + [11966] = {.entry = {.count = 1, .reusable = true}}, SHIFT(341), + [11968] = {.entry = {.count = 1, .reusable = true}}, SHIFT(343), + [11970] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1188), + [11972] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4830), + [11974] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1218), + [11976] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1225), + [11978] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1247), + [11980] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4844), + [11982] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1259), + [11984] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1265), + [11986] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4868), + [11988] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4870), + [11990] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2362), + [11992] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4871), + [11994] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1278), + [11996] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2360), + [11998] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1287), + [12000] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1292), + [12002] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3590), + [12004] = {.entry = {.count = 1, .reusable = true}}, SHIFT(313), + [12006] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4872), + [12008] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3589), + [12010] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5298), + [12012] = {.entry = {.count = 1, .reusable = true}}, SHIFT(258), + [12014] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1277), + [12016] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6210), + [12018] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1376), + [12020] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1400), + [12022] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_else_generate, 4), + [12024] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1385), + [12026] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2589), + [12028] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1387), + [12030] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1390), + [12032] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3586), + [12034] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1852), + [12036] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4836), + [12038] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1851), + [12040] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3584), + [12042] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1850), + [12044] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1273), + [12046] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1847), + [12048] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2556), + [12050] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2557), + [12052] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4166), + [12054] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1416), + [12056] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1269), + [12058] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2568), + [12060] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5036), + [12062] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5085), + [12064] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4170), + [12066] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__end_designator, 1, .production_id = 124), + [12068] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__end_designator, 1, .production_id = 90), + [12070] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1433), + [12072] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2569), + [12074] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2571), + [12076] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2572), + [12078] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2573), + [12080] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1448), + [12082] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1451), + [12084] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1453), + [12086] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3638), + [12088] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6235), + [12090] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4906), + [12092] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3457), + [12094] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2336), + [12096] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3564), + [12098] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3459), + [12100] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_default, 1), + [12102] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3557), + [12104] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1260), + [12106] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1254), + [12108] = {.entry = {.count = 1, .reusable = true}}, SHIFT(362), + [12110] = {.entry = {.count = 1, .reusable = true}}, SHIFT(15), + [12112] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1470), + [12114] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4925), + [12116] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4883), + [12118] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4884), + [12120] = {.entry = {.count = 1, .reusable = true}}, SHIFT(223), + [12122] = {.entry = {.count = 1, .reusable = true}}, SHIFT(307), + [12124] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4889), + [12126] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4926), + [12128] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1477), + [12130] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5262), + [12132] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4928), + [12134] = {.entry = {.count = 1, .reusable = true}}, SHIFT(8), + [12136] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4826), + [12138] = {.entry = {.count = 1, .reusable = true}}, SHIFT(135), + [12140] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5038), + [12142] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5039), + [12144] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4822), + [12146] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2332), + [12148] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1649), + [12150] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4887), + [12152] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1841), + [12154] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3553), + [12156] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7265), + [12158] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4885), + [12160] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2574), + [12162] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6842), + [12164] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1367), + [12166] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2577), + [12168] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2578), + [12170] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1221), + [12172] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1215), + [12174] = {.entry = {.count = 1, .reusable = true}}, SHIFT(85), + [12176] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4875), + [12178] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6304), + [12180] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1205), + [12182] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1603), + [12184] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4317), + [12186] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4256), + [12188] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4312), + [12190] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2586), + [12192] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2625), + [12194] = {.entry = {.count = 1, .reusable = true}}, SHIFT(10), + [12196] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1204), + [12198] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1201), + [12200] = {.entry = {.count = 1, .reusable = true}}, SHIFT(188), + [12202] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1200), + [12204] = {.entry = {.count = 1, .reusable = true}}, SHIFT(38), + [12206] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1199), + [12208] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2562), + [12210] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6326), + [12212] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2615), + [12214] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6331), + [12216] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4688), + [12218] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1641), + [12220] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5109), + [12222] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4705), + [12224] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5143), + [12226] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5110), + [12228] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2616), + [12230] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5142), + [12232] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5141), + [12234] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5134), + [12236] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5129), + [12238] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2617), + [12240] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1659), + [12242] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1669), + [12244] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2619), + [12246] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1676), + [12248] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1197), + [12250] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1196), + [12252] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5220), + [12254] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2623), + [12256] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1682), + [12258] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1683), + [12260] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6352), + [12262] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2682), + [12264] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_access_type_definition, 2), + [12266] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3779), + [12268] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5077), + [12270] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3780), + [12272] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1195), + [12274] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1194), + [12276] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1193), + [12278] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4746), + [12280] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1728), + [12282] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4703), + [12284] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1734), + [12286] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4833), + [12288] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1192), + [12290] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2647), + [12292] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2655), + [12294] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1191), + [12296] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1178), + [12298] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1159), + [12300] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1038), + [12302] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2661), + [12304] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2662), + [12306] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2663), + [12308] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2664), + [12310] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1109), + [12312] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3645), + [12314] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3644), + [12316] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3643), + [12318] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3642), + [12320] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2260), + [12322] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1100), + [12324] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4729), + [12326] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4867), + [12328] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4865), + [12330] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6390), + [12332] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4861), + [12334] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6391), + [12336] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5112), + [12338] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4135), + [12340] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4859), + [12342] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4123), + [12344] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2257), + [12346] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4858), + [12348] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2252), + [12350] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2250), + [12352] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3486), + [12354] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5010), + [12356] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5052), + [12358] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5073), + [12360] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6880), + [12362] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3464), + [12364] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7078), + [12366] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4856), + [12368] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3541), + [12370] = {.entry = {.count = 1, .reusable = true}}, SHIFT(691), + [12372] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1227), + [12374] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1834), + [12376] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4715), + [12378] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4728), + [12380] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4739), + [12382] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3473), + [12384] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1351), + [12386] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4987), + [12388] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4986), + [12390] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6911), + [12392] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6909), + [12394] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5321), + [12396] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6348), + [12398] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1133), + [12400] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1833), + [12402] = {.entry = {.count = 1, .reusable = true}}, SHIFT(984), + [12404] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1832), + [12406] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3477), + [12408] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1052), + [12410] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1831), + [12412] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1108), + [12414] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6428), + [12416] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6429), + [12418] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4797), + [12420] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6431), + [12422] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4808), + [12424] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4950), + [12426] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4929), + [12428] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4938), + [12430] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4934), + [12432] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1087), + [12434] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4936), + [12436] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4156), + [12438] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4945), + [12440] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4183), + [12442] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4946), + [12444] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1189), + [12446] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6890), + [12448] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1346), + [12450] = {.entry = {.count = 1, .reusable = true}}, SHIFT(987), + [12452] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5282), + [12454] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4897), + [12456] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1371), + [12458] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1373), + [12460] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1374), + [12462] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4899), + [12464] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4901), + [12466] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4902), + [12468] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1378), + [12470] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4913), + [12472] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6694), + [12474] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6691), + [12476] = {.entry = {.count = 1, .reusable = true}}, SHIFT(172), + [12478] = {.entry = {.count = 1, .reusable = true}}, SHIFT(311), + [12480] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1421), + [12482] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2717), + [12484] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1423), + [12486] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1439), + [12488] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2680), + [12490] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2681), + [12492] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5102), + [12494] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_else_generate, 3), + [12496] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1557), + [12498] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5104), + [12500] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1569), + [12502] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1565), + [12504] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1036), + [12506] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4811), + [12508] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4957), + [12510] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4961), + [12512] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4964), + [12514] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4967), + [12516] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2683), + [12518] = {.entry = {.count = 1, .reusable = true}}, SHIFT(988), + [12520] = {.entry = {.count = 1, .reusable = true}}, SHIFT(994), + [12522] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1001), + [12524] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1003), + [12526] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2698), + [12528] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1007), + [12530] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6896), + [12532] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5046), + [12534] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2702), + [12536] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1571), + [12538] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4748), + [12540] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5056), + [12542] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5058), + [12544] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5078), + [12546] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6899), + [12548] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1572), + [12550] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1613), + [12552] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2704), + [12554] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2705), + [12556] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5120), + [12558] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5123), + [12560] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5133), + [12562] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5149), + [12564] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5150), + [12566] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2706), + [12568] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5759), + [12570] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2687), + [12572] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2759), + [12574] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2760), + [12576] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4186), + [12578] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4193), + [12580] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6923), + [12582] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2762), + [12584] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4691), + [12586] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4718), + [12588] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5159), + [12590] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5148), + [12592] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5145), + [12594] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2763), + [12596] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4692), + [12598] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2764), + [12600] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5132), + [12602] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5127), + [12604] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5121), + [12606] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5108), + [12608] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5094), + [12610] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5093), + [12612] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2769), + [12614] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5176), + [12616] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3641), + [12618] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5050), + [12620] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5028), + [12622] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4960), + [12624] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4910), + [12626] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4886), + [12628] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2770), + [12630] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4855), + [12632] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5015), + [12634] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4985), + [12636] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5111), + [12638] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1010), + [12640] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2758), + [12642] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5064), + [12644] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5040), + [12646] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4963), + [12648] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4923), + [12650] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4880), + [12652] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4869), + [12654] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1018), + [12656] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4853), + [12658] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4972), + [12660] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5092), + [12662] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5068), + [12664] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4975), + [12666] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2748), + [12668] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4879), + [12670] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4878), + [12672] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1020), + [12674] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2743), + [12676] = {.entry = {.count = 1, .reusable = true}}, SHIFT(424), + [12678] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6881), + [12680] = {.entry = {.count = 1, .reusable = true}}, SHIFT(19), + [12682] = {.entry = {.count = 1, .reusable = true}}, SHIFT(353), + [12684] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5138), + [12686] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1693), + [12688] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__end_simple_name, 1, .production_id = 90), + [12690] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5135), + [12692] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4723), + [12694] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2343), + [12696] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_unbounded_array_definition, 7, .production_id = 301), + [12698] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1672), + [12700] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_protected_type_body, 7, .production_id = 227), + [12702] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5608), + [12704] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1811), + [12706] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3496), + [12708] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3830), + [12710] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_physical_type_definition, 7, .production_id = 227), + [12712] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1023), + [12714] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1808), + [12716] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3809), + [12718] = {.entry = {.count = 1, .reusable = true}}, SHIFT(493), + [12720] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2353), + [12722] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3481), + [12724] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5224), + [12726] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5225), + [12728] = {.entry = {.count = 1, .reusable = true}}, SHIFT(259), + [12730] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3484), + [12732] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1107), + [12734] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1066), + [12736] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2732), + [12738] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_relative_pathname, 2, .production_id = 76), + [12740] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1085), + [12742] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_absolute_pathname, 2, .production_id = 76), + [12744] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5639), + [12746] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_guarded_signal_specification, 3), + [12748] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6539), + [12750] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3976), + [12752] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6542), + [12754] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5074), + [12756] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2723), + [12758] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1101), + [12760] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1102), + [12762] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6544), + [12764] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2670), + [12766] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2668), + [12768] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5090), + [12770] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6552), + [12772] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5089), + [12774] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5087), + [12776] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6555), + [12778] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5084), + [12780] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1103), + [12782] = {.entry = {.count = 1, .reusable = true}}, SHIFT(777), + [12784] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3806), + [12786] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1531), + [12788] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1530), + [12790] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3810), + [12792] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5778), + [12794] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1504), + [12796] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1106), + [12798] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1162), + [12800] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1492), + [12802] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1491), + [12804] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1110), + [12806] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2651), + [12808] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1111), + [12810] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1486), + [12812] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1485), + [12814] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1112), + [12816] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3881), + [12818] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2633), + [12820] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4757), + [12822] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4768), + [12824] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5115), + [12826] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5130), + [12828] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2624), + [12830] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2611), + [12832] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5139), + [12834] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6988), + [12836] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1119), + [12838] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1120), + [12840] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1123), + [12842] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2291), + [12844] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5165), + [12846] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1126), + [12848] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3624), + [12850] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2274), + [12852] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1130), + [12854] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2379), + [12856] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5792), + [12858] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3487), + [12860] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7034), + [12862] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7039), + [12864] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1862), + [12866] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3526), + [12868] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7043), + [12870] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3970), + [12872] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1863), + [12874] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3972), + [12876] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7061), + [12878] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2580), + [12880] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3989), + [12882] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2570), + [12884] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2565), + [12886] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7073), + [12888] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5933), + [12890] = {.entry = {.count = 1, .reusable = true}}, SHIFT(244), + [12892] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2551), + [12894] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2689), + [12896] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6644), + [12898] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2547), + [12900] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5800), + [12902] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1136), + [12904] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1138), + [12906] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1142), + [12908] = {.entry = {.count = 1, .reusable = true}}, SHIFT(178), + [12910] = {.entry = {.count = 1, .reusable = true}}, SHIFT(173), + [12912] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3734), + [12914] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3726), + [12916] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3725), + [12918] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3724), + [12920] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3770), + [12922] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3723), + [12924] = {.entry = {.count = 1, .reusable = true}}, SHIFT(429), + [12926] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1022), + [12928] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1153), + [12930] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1157), + [12932] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1161), + [12934] = {.entry = {.count = 1, .reusable = true}}, SHIFT(218), + [12936] = {.entry = {.count = 1, .reusable = true}}, SHIFT(185), + [12938] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1332), + [12940] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1331), + [12942] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2493), + [12944] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2490), + [12946] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2486), + [12948] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3578), + [12950] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2552), + [12952] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1313), + [12954] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1165), + [12956] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1310), + [12958] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2674), + [12960] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2676), + [12962] = {.entry = {.count = 1, .reusable = true}}, SHIFT(427), + [12964] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4962), + [12966] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3932), + [12968] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3930), + [12970] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3928), + [12972] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3927), + [12974] = {.entry = {.count = 1, .reusable = true}}, SHIFT(288), + [12976] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3740), + [12978] = {.entry = {.count = 1, .reusable = true}}, SHIFT(12), + [12980] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6558), + [12982] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6560), + [12984] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3777), + [12986] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3750), + [12988] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3757), + [12990] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4976), + [12992] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_else, 2), + [12994] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1279), + [12996] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1168), + [12998] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2685), + [13000] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3655), + [13002] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4999), + [13004] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1257), + [13006] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__severity, 2, .production_id = 59), + [13008] = {.entry = {.count = 1, .reusable = true}}, SHIFT(883), + [13010] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__predefined_designator_with_expression, 1), + [13012] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4211), + [13014] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5274), + [13016] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4315), + [13018] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2712), + [13020] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1176), + [13022] = {.entry = {.count = 1, .reusable = true}}, SHIFT(158), + [13024] = {.entry = {.count = 1, .reusable = true}}, SHIFT(157), + [13026] = {.entry = {.count = 1, .reusable = true}}, SHIFT(828), + [13028] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2716), + [13030] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2718), + [13032] = {.entry = {.count = 1, .reusable = true}}, SHIFT(888), + [13034] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1177), + [13036] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2721), + [13038] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6627), + [13040] = {.entry = {.count = 1, .reusable = true}}, SHIFT(154), + [13042] = {.entry = {.count = 1, .reusable = true}}, SHIFT(151), + [13044] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3993), + [13046] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3999), + [13048] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4008), + [13050] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4009), + [13052] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2722), + [13054] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3822), + [13056] = {.entry = {.count = 1, .reusable = true}}, SHIFT(838), + [13058] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6638), + [13060] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6639), + [13062] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1181), + [13064] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1222), + [13066] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2726), + [13068] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2608), + [13070] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5820), + [13072] = {.entry = {.count = 1, .reusable = true}}, SHIFT(261), + [13074] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2602), + [13076] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5823), + [13078] = {.entry = {.count = 1, .reusable = true}}, SHIFT(365), + [13080] = {.entry = {.count = 1, .reusable = true}}, SHIFT(363), + [13082] = {.entry = {.count = 1, .reusable = true}}, SHIFT(217), + [13084] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7150), + [13086] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6680), + [13088] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6681), + [13090] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6682), + [13092] = {.entry = {.count = 1, .reusable = true}}, SHIFT(180), + [13094] = {.entry = {.count = 1, .reusable = true}}, SHIFT(58), + [13096] = {.entry = {.count = 1, .reusable = true}}, SHIFT(196), + [13098] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3813), + [13100] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7151), + [13102] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7155), + [13104] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4920), + [13106] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6707), + [13108] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6716), + [13110] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5833), + [13112] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1180), + [13114] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1179), + [13116] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4912), + [13118] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6730), + [13120] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6732), + [13122] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1141), + [13124] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7157), + [13126] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2388), + [13128] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6746), + [13130] = {.entry = {.count = 1, .reusable = true}}, SHIFT(55), + [13132] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2504), + [13134] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1818), + [13136] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6753), + [13138] = {.entry = {.count = 1, .reusable = true}}, SHIFT(81), + [13140] = {.entry = {.count = 1, .reusable = true}}, SHIFT(76), + [13142] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6756), + [13144] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6757), + [13146] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_relative_pathname, 1, .production_id = 42), + [13148] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6764), + [13150] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4386), + [13152] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6766), + [13154] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5733), + [13156] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1198), + [13158] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6771), + [13160] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6772), + [13162] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1821), + [13164] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6774), + [13166] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6777), + [13168] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3763), + [13170] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3762), + [13172] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6780), + [13174] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6781), + [13176] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5736), + [13178] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6785), + [13180] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1212), + [13182] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6786), + [13184] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6787), + [13186] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3768), + [13188] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6789), + [13190] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5729), + [13192] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6792), + [13194] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5107), + [13196] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1214), + [13198] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1035), + [13200] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2367), + [13202] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3736), + [13204] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3746), + [13206] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3747), + [13208] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3721), + [13210] = {.entry = {.count = 1, .reusable = true}}, SHIFT(914), + [13212] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3748), + [13214] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6850), + [13216] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3786), + [13218] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3787), + [13220] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3788), + [13222] = {.entry = {.count = 1, .reusable = true}}, SHIFT(931), + [13224] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3767), + [13226] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3727), + [13228] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3728), + [13230] = {.entry = {.count = 1, .reusable = true}}, SHIFT(869), + [13232] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3730), + [13234] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3731), + [13236] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3732), + [13238] = {.entry = {.count = 1, .reusable = true}}, SHIFT(844), + [13240] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1224), + [13242] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1250), + [13244] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3525), + [13246] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3511), + [13248] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1253), + [13250] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1846), + [13252] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6936), + [13254] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4863), + [13256] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3483), + [13258] = {.entry = {.count = 1, .reusable = true}}, SHIFT(6853), + [13260] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5836), + [13262] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5839), + [13264] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4860), + [13266] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3755), + [13268] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7163), + [13270] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2488), + [13272] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5803), + [13274] = {.entry = {.count = 1, .reusable = true}}, SHIFT(270), + [13276] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1264), + [13278] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1274), + [13280] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2483), + [13282] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4019), + [13284] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5842), + [13286] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3673), + [13288] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4017), + [13290] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1840), + [13292] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4016), + [13294] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1276), + [13296] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3668), + [13298] = {.entry = {.count = 1, .reusable = true}}, SHIFT(163), + [13300] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4014), + [13302] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4389), + [13304] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2320), + [13306] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3504), + [13308] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1154), + [13310] = {.entry = {.count = 1, .reusable = true}}, SHIFT(982), + [13312] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3754), + [13314] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3776), + [13316] = {.entry = {.count = 1, .reusable = true}}, SHIFT(978), + [13318] = {.entry = {.count = 1, .reusable = true}}, SHIFT(573), + [13320] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1965), + [13322] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1824), + [13324] = {.entry = {.count = 1, .reusable = true}}, SHIFT(284), + [13326] = {.entry = {.count = 1, .reusable = true}}, SHIFT(143), + [13328] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3559), + [13330] = {.entry = {.count = 1, .reusable = true}}, SHIFT(681), + [13332] = {.entry = {.count = 1, .reusable = true}}, SHIFT(390), + [13334] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1545), + [13336] = {.entry = {.count = 1, .reusable = true}}, SHIFT(684), + [13338] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7049), + [13340] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7050), + [13342] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5157), + [13344] = {.entry = {.count = 1, .reusable = true}}, SHIFT(329), + [13346] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5137), + [13348] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1732), + [13350] = {.entry = {.count = 1, .reusable = true}}, SHIFT(685), + [13352] = {.entry = {.count = 1, .reusable = true}}, SHIFT(281), + [13354] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1526), + [13356] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2549), + [13358] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2587), + [13360] = {.entry = {.count = 1, .reusable = true}}, SHIFT(360), + [13362] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2600), + [13364] = {.entry = {.count = 1, .reusable = true}}, SHIFT(350), + [13366] = {.entry = {.count = 1, .reusable = true}}, SHIFT(983), + [13368] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2644), + [13370] = {.entry = {.count = 1, .reusable = true}}, SHIFT(334), + [13372] = {.entry = {.count = 1, .reusable = true}}, SHIFT(293), + [13374] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3606), + [13376] = {.entry = {.count = 1, .reusable = true}}, SHIFT(282), + [13378] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3599), + [13380] = {.entry = {.count = 1, .reusable = true}}, SHIFT(357), + [13382] = {.entry = {.count = 1, .reusable = true}}, SHIFT(354), + [13384] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2671), + [13386] = {.entry = {.count = 1, .reusable = true}}, SHIFT(342), + [13388] = {.entry = {.count = 1, .reusable = true}}, SHIFT(149), + [13390] = {.entry = {.count = 1, .reusable = true}}, SHIFT(324), + [13392] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2714), + [13394] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2729), + [13396] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2730), + [13398] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4980), + [13400] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2273), + [13402] = {.entry = {.count = 1, .reusable = true}}, SHIFT(193), + [13404] = {.entry = {.count = 1, .reusable = true}}, SHIFT(219), + [13406] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2747), + [13408] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3921), + [13410] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3569), + [13412] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2272), + [13414] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2733), + [13416] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2751), + [13418] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2755), + [13420] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4633), + [13422] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3823), + [13424] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5480), + [13426] = {.entry = {.count = 1, .reusable = true}}, SHIFT(47), + [13428] = {.entry = {.count = 1, .reusable = true}}, SHIFT(412), + [13430] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2205), + [13432] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1814), + [13434] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3829), + [13436] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2494), + [13438] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2496), + [13440] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1826), + [13442] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3538), + [13444] = {.entry = {.count = 1, .reusable = true}}, SHIFT(415), + [13446] = {.entry = {.count = 1, .reusable = true}}, SHIFT(432), + [13448] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3560), + [13450] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3749), + [13452] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3745), + [13454] = {.entry = {.count = 1, .reusable = true}}, SHIFT(171), + [13456] = {.entry = {.count = 1, .reusable = true}}, SHIFT(260), + [13458] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3744), + [13460] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3743), + [13462] = {.entry = {.count = 1, .reusable = true}}, SHIFT(254), + [13464] = {.entry = {.count = 1, .reusable = true}}, SHIFT(198), + [13466] = {.entry = {.count = 1, .reusable = true}}, SHIFT(257), + [13468] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3742), + [13470] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3741), + [13472] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3507), + [13474] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1801), + [13476] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1748), + [13478] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1749), + [13480] = {.entry = {.count = 1, .reusable = true}}, SHIFT(195), + [13482] = {.entry = {.count = 1, .reusable = true}}, SHIFT(194), + [13484] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1750), + [13486] = {.entry = {.count = 1, .reusable = true}}, SHIFT(930), + [13488] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1751), + [13490] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3502), + [13492] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5270), + [13494] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3498), + [13496] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1217), + [13498] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1752), + [13500] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5503), + [13502] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2121), + [13504] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3996), + [13506] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3997), + [13508] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2249), + [13510] = {.entry = {.count = 1, .reusable = true}}, SHIFT(738), + [13512] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3499), + [13514] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1820), + [13516] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3543), + [13518] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3548), + [13520] = {.entry = {.count = 1, .reusable = true}}, ACCEPT_INPUT(), + [13522] = {.entry = {.count = 1, .reusable = true}}, SHIFT(614), + [13524] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3546), + [13526] = {.entry = {.count = 1, .reusable = true}}, SHIFT(401), + [13528] = {.entry = {.count = 1, .reusable = true}}, SHIFT(469), + [13530] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3650), + [13532] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1550), + [13534] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1855), + [13536] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1806), + [13538] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3531), + [13540] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3501), + [13542] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2377), + [13544] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7270), + [13546] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3480), + [13548] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3476), + [13550] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1861), + [13552] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2643), + [13554] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2639), + [13556] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5401), + [13558] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2599), + [13560] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5198), + [13562] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2604), + [13564] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2640), + [13566] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4681), + [13568] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1774), +}; + +#ifdef __cplusplus +extern "C" { +#endif +#ifdef _WIN32 +#define extern __declspec(dllexport) +#endif + +extern const TSLanguage *tree_sitter_vhdl(void) { + static const TSLanguage language = { + .version = LANGUAGE_VERSION, + .symbol_count = SYMBOL_COUNT, + .alias_count = ALIAS_COUNT, + .token_count = TOKEN_COUNT, + .external_token_count = EXTERNAL_TOKEN_COUNT, + .state_count = STATE_COUNT, + .large_state_count = LARGE_STATE_COUNT, + .production_id_count = PRODUCTION_ID_COUNT, + .field_count = FIELD_COUNT, + .max_alias_sequence_length = MAX_ALIAS_SEQUENCE_LENGTH, + .parse_table = &ts_parse_table[0][0], + .small_parse_table = ts_small_parse_table, + .small_parse_table_map = ts_small_parse_table_map, + .parse_actions = ts_parse_actions, + .symbol_names = ts_symbol_names, + .field_names = ts_field_names, + .field_map_slices = ts_field_map_slices, + .field_map_entries = ts_field_map_entries, + .symbol_metadata = ts_symbol_metadata, + .public_symbol_map = ts_symbol_map, + .alias_map = ts_non_terminal_alias_map, + .alias_sequences = &ts_alias_sequences[0][0], + .lex_modes = ts_lex_modes, + .lex_fn = ts_lex, + .keyword_lex_fn = ts_lex_keywords, + .keyword_capture_token = sym_basic_identifier, + .primary_state_ids = ts_primary_state_ids, + }; + return &language; +} +#ifdef __cplusplus +} +#endif diff --git a/vendored_parsers/tree-sitter-vhdl/src/tree_sitter/parser.h b/vendored_parsers/tree-sitter-vhdl/src/tree_sitter/parser.h new file mode 100644 index 000000000..2b14ac104 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/src/tree_sitter/parser.h @@ -0,0 +1,224 @@ +#ifndef TREE_SITTER_PARSER_H_ +#define TREE_SITTER_PARSER_H_ + +#ifdef __cplusplus +extern "C" { +#endif + +#include +#include +#include + +#define ts_builtin_sym_error ((TSSymbol)-1) +#define ts_builtin_sym_end 0 +#define TREE_SITTER_SERIALIZATION_BUFFER_SIZE 1024 + +typedef uint16_t TSStateId; + +#ifndef TREE_SITTER_API_H_ +typedef uint16_t TSSymbol; +typedef uint16_t TSFieldId; +typedef struct TSLanguage TSLanguage; +#endif + +typedef struct { + TSFieldId field_id; + uint8_t child_index; + bool inherited; +} TSFieldMapEntry; + +typedef struct { + uint16_t index; + uint16_t length; +} TSFieldMapSlice; + +typedef struct { + bool visible; + bool named; + bool supertype; +} TSSymbolMetadata; + +typedef struct TSLexer TSLexer; + +struct TSLexer { + int32_t lookahead; + TSSymbol result_symbol; + void (*advance)(TSLexer *, bool); + void (*mark_end)(TSLexer *); + uint32_t (*get_column)(TSLexer *); + bool (*is_at_included_range_start)(const TSLexer *); + bool (*eof)(const TSLexer *); +}; + +typedef enum { + TSParseActionTypeShift, + TSParseActionTypeReduce, + TSParseActionTypeAccept, + TSParseActionTypeRecover, +} TSParseActionType; + +typedef union { + struct { + uint8_t type; + TSStateId state; + bool extra; + bool repetition; + } shift; + struct { + uint8_t type; + uint8_t child_count; + TSSymbol symbol; + int16_t dynamic_precedence; + uint16_t production_id; + } reduce; + uint8_t type; +} TSParseAction; + +typedef struct { + uint16_t lex_state; + uint16_t external_lex_state; +} TSLexMode; + +typedef union { + TSParseAction action; + struct { + uint8_t count; + bool reusable; + } entry; +} TSParseActionEntry; + +struct TSLanguage { + uint32_t version; + uint32_t symbol_count; + uint32_t alias_count; + uint32_t token_count; + uint32_t external_token_count; + uint32_t state_count; + uint32_t large_state_count; + uint32_t production_id_count; + uint32_t field_count; + uint16_t max_alias_sequence_length; + const uint16_t *parse_table; + const uint16_t *small_parse_table; + const uint32_t *small_parse_table_map; + const TSParseActionEntry *parse_actions; + const char * const *symbol_names; + const char * const *field_names; + const TSFieldMapSlice *field_map_slices; + const TSFieldMapEntry *field_map_entries; + const TSSymbolMetadata *symbol_metadata; + const TSSymbol *public_symbol_map; + const uint16_t *alias_map; + const TSSymbol *alias_sequences; + const TSLexMode *lex_modes; + bool (*lex_fn)(TSLexer *, TSStateId); + bool (*keyword_lex_fn)(TSLexer *, TSStateId); + TSSymbol keyword_capture_token; + struct { + const bool *states; + const TSSymbol *symbol_map; + void *(*create)(void); + void (*destroy)(void *); + bool (*scan)(void *, TSLexer *, const bool *symbol_whitelist); + unsigned (*serialize)(void *, char *); + void (*deserialize)(void *, const char *, unsigned); + } external_scanner; + const TSStateId *primary_state_ids; +}; + +/* + * Lexer Macros + */ + +#define START_LEXER() \ + bool result = false; \ + bool skip = false; \ + bool eof = false; \ + int32_t lookahead; \ + goto start; \ + next_state: \ + lexer->advance(lexer, skip); \ + start: \ + skip = false; \ + lookahead = lexer->lookahead; + +#define ADVANCE(state_value) \ + { \ + state = state_value; \ + goto next_state; \ + } + +#define SKIP(state_value) \ + { \ + skip = true; \ + state = state_value; \ + goto next_state; \ + } + +#define ACCEPT_TOKEN(symbol_value) \ + result = true; \ + lexer->result_symbol = symbol_value; \ + lexer->mark_end(lexer); + +#define END_STATE() return result; + +/* + * Parse Table Macros + */ + +#define SMALL_STATE(id) id - LARGE_STATE_COUNT + +#define STATE(id) id + +#define ACTIONS(id) id + +#define SHIFT(state_value) \ + {{ \ + .shift = { \ + .type = TSParseActionTypeShift, \ + .state = state_value \ + } \ + }} + +#define SHIFT_REPEAT(state_value) \ + {{ \ + .shift = { \ + .type = TSParseActionTypeShift, \ + .state = state_value, \ + .repetition = true \ + } \ + }} + +#define SHIFT_EXTRA() \ + {{ \ + .shift = { \ + .type = TSParseActionTypeShift, \ + .extra = true \ + } \ + }} + +#define REDUCE(symbol_val, child_count_val, ...) \ + {{ \ + .reduce = { \ + .type = TSParseActionTypeReduce, \ + .symbol = symbol_val, \ + .child_count = child_count_val, \ + __VA_ARGS__ \ + }, \ + }} + +#define RECOVER() \ + {{ \ + .type = TSParseActionTypeRecover \ + }} + +#define ACCEPT_INPUT() \ + {{ \ + .type = TSParseActionTypeAccept \ + }} + +#ifdef __cplusplus +} +#endif + +#endif // TREE_SITTER_PARSER_H_ diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/architecture_body.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/architecture_body.txt new file mode 100644 index 000000000..950d78d29 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/architecture_body.txt @@ -0,0 +1,30 @@ +============================== +Minimal +============================== +architecture rtl of ent is +begin +end; + +architecture rtl of ent is +begin +end architecture; + +architecture rtl of ent is +begin +end architecture rtl; +--- + +(design_file + (design_unit + (architecture_body + name: (identifier) + entity: (simple_name))) + (design_unit + (architecture_body + name: (identifier) + entity: (simple_name))) + (design_unit + (architecture_body + name: (identifier) + entity: (simple_name) + at_end: (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/assignments/conditional_signal_assignment.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/assignments/conditional_signal_assignment.txt new file mode 100644 index 000000000..ed173d21a --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/assignments/conditional_signal_assignment.txt @@ -0,0 +1,289 @@ +================================================================================ +Conditional waveform assignment - Minimal +================================================================================ +t <= w when true; +L: t <= w when true; +-------------------------------------------------------------------------------- + +(design_file + (conditional_concurrent_signal_assignment + target: (simple_name) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name)))) + (conditional_concurrent_signal_assignment + (label + (identifier)) + target: (simple_name) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name))))) + +================================================================================ +Conditional waveform assignment - Delay mechanism +================================================================================ +t <= transport w when true; +t <= inertial w when true; +t <= reject 10 ns inertial w when true; +-------------------------------------------------------------------------------- + +(design_file + (conditional_concurrent_signal_assignment + target: (simple_name) + (delay_mechanism + (transport)) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name)))) + (conditional_concurrent_signal_assignment + target: (simple_name) + (delay_mechanism + (inertial)) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name)))) + (conditional_concurrent_signal_assignment + target: (simple_name) + (delay_mechanism + (inertial + reject: (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name))))) + +================================================================================ +Conditional waveforms I +================================================================================ +t <= w1 when true else + w2 when false; +-------------------------------------------------------------------------------- + +(design_file + (conditional_concurrent_signal_assignment + target: (simple_name) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name)) + (alternative_conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name)))))) + +================================================================================ +Conditional waveforms II +================================================================================ +t <= w1 when cond1 else + w2 when cond2 else + w3 when cond3 else + w4; +-------------------------------------------------------------------------------- + +(design_file + (conditional_concurrent_signal_assignment + target: (simple_name) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name)) + (alternative_conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name))) + (alternative_conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name))) + (alternative_conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))))))) + +================================================================================ +Conditional waveforms III +================================================================================ +t <= w1 after 10 ns when cond1 else w2; +-------------------------------------------------------------------------------- + +(design_file + (conditional_concurrent_signal_assignment + target: (simple_name) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)) + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) + (conditional_expression + (simple_name)) + (alternative_conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))))))) + +================================================================================ +Conditional force assignment +================================================================================ +t <= force w when true; +t <= force in w when true; +t <= force out w when true; +-------------------------------------------------------------------------------- + +(design_file + (conditional_force_assignment + target: (simple_name) + (conditional_expressions + (expression + (simple_name)) + (conditional_expression + (simple_name)))) + (conditional_force_assignment + target: (simple_name) + (force_mode) + (conditional_expressions + (expression + (simple_name)) + (conditional_expression + (simple_name)))) + (conditional_force_assignment + target: (simple_name) + (force_mode) + (conditional_expressions + (expression + (simple_name)) + (conditional_expression + (simple_name))))) + +================================================================================ +LRM +================================================================================ +S <= unaffected when Input_pin = S'Driving_Value else + Input_pin after Buffer_Delay; +-------------------------------------------------------------------------------- + +(design_file + (conditional_concurrent_signal_assignment + target: (simple_name) + (conditional_waveforms + (waveforms + (unaffected)) + (conditional_expression + (relation + (simple_name) + (attribute_name + prefix: (simple_name) + designator: (predefined_designator)))) + (alternative_conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)) + (time_expression + (simple_name)))))))) + +================================================================================ +Guarded assignment +================================================================================ +t <= guarded w when cond; +-------------------------------------------------------------------------------- + +(design_file + (conditional_concurrent_signal_assignment + target: (simple_name) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name))))) + +================================================================================ +Guarded assignment - Delay mechanism +================================================================================ +t <= guarded transport w when cond; +t <= guarded inertial w when cond; +t <= guarded reject 10 ns inertial w when cond; +-------------------------------------------------------------------------------- + +(design_file + (conditional_concurrent_signal_assignment + target: (simple_name) + (delay_mechanism + (transport)) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name)))) + (conditional_concurrent_signal_assignment + target: (simple_name) + (delay_mechanism + (inertial)) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name)))) + (conditional_concurrent_signal_assignment + target: (simple_name) + (delay_mechanism + (inertial + reject: (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) + (conditional_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (conditional_expression + (simple_name))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/assignments/selected_signal_assignment.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/assignments/selected_signal_assignment.txt new file mode 100644 index 000000000..ce395ae48 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/assignments/selected_signal_assignment.txt @@ -0,0 +1,355 @@ +================================================================================ +Selected waveform assignment - Minimal +================================================================================ +with expr select + t <= w when true; + +L1: +with expr select + t <= w when true; + +with expr select? + t <= w when true; + +L2: +with expr select? + t <= w when true; +-------------------------------------------------------------------------------- + +(design_file + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name))))) + (selected_concurrent_signal_assignment + (label + (identifier)) + (expression + (simple_name)) + target: (simple_name) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name))))) + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name))))) + (selected_concurrent_signal_assignment + (label + (identifier)) + (expression + (simple_name)) + target: (simple_name) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name)))))) + +================================================================================ +Selected waveform assignment - Delay mechanism +================================================================================ +with expr select + t <= transport w when true; + +with expr select + t <= inertial w when true; + +with expr select + t <= reject 10 ns inertial w when true; +-------------------------------------------------------------------------------- + +(design_file + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (delay_mechanism + (transport)) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name))))) + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (delay_mechanism + (inertial)) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name))))) + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (delay_mechanism + (inertial + reject: (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name)))))) + +================================================================================ +Selected waveforms I +================================================================================ +with expr select + t <= w1 when true, + w2 when false; +-------------------------------------------------------------------------------- + +(design_file + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name))) + (alternative_selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name))))))) + +================================================================================ +Selected waveforms II +================================================================================ +with expr select + t <= w1 when cond1 | cond2, + w3 when others; +-------------------------------------------------------------------------------- + +(design_file + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name)) + (simple_expression + (simple_name))) + (alternative_selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (others)))))) + +================================================================================ +Selected waveforms III +================================================================================ +with expr select + t <= w1 after 10 ns when cond1, + w2 when others; +-------------------------------------------------------------------------------- + +(design_file + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)) + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) + (choices + (simple_expression + (simple_name))) + (alternative_selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (others)))))) + +================================================================================ +Selected force assignment +================================================================================ +with expr select + t <= force w when true; + +with expr select + t <= force in w when true; + +with expr select + t <= force out w when true; +-------------------------------------------------------------------------------- + +(design_file + (selected_force_assignment + (expression + (simple_name)) + target: (simple_name) + (selected_expressions + (expression + (simple_name)) + (choices + (simple_expression + (simple_name))))) + (selected_force_assignment + (expression + (simple_name)) + target: (simple_name) + (force_mode) + (selected_expressions + (expression + (simple_name)) + (choices + (simple_expression + (simple_name))))) + (selected_force_assignment + (expression + (simple_name)) + target: (simple_name) + (force_mode) + (selected_expressions + (expression + (simple_name)) + (choices + (simple_expression + (simple_name)))))) + +================================================================================ +Guarded assignment +================================================================================ +with expr select + t <= guarded w when cond; +-------------------------------------------------------------------------------- + +(design_file + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name)))))) + +================================================================================ +Guarded assignment - Delay mechanism +================================================================================ +with expr select + t <= guarded transport w when cond; + +with expr select + t <= guarded inertial w when cond; + +with expr select + t <= guarded reject 10 ns inertial w when cond; +-------------------------------------------------------------------------------- + +(design_file + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (delay_mechanism + (transport)) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name))))) + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (delay_mechanism + (inertial)) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name))))) + (selected_concurrent_signal_assignment + (expression + (simple_name)) + target: (simple_name) + (delay_mechanism + (inertial + reject: (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) + (selected_waveforms + (waveforms + (waveform_element + (expression + (simple_name)))) + (choices + (simple_expression + (simple_name)))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/assignments/simple_signal_assignment.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/assignments/simple_signal_assignment.txt new file mode 100644 index 000000000..5e8aac631 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/assignments/simple_signal_assignment.txt @@ -0,0 +1,187 @@ +================================================================================ +Simple waveform assignment - Minimal +================================================================================ +t <= w; +L: t <= w; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_name))))) + (simple_concurrent_signal_assignment + (label + (identifier)) + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_name)))))) + +================================================================================ +Simple waveform assignment - Delay mechanism +================================================================================ +t <= transport w; +t <= inertial w; +t <= reject 10 ns inertial w; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (delay_mechanism + (transport)) + (waveforms + (waveform_element + (expression + (simple_name))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (delay_mechanism + (inertial)) + (waveforms + (waveform_element + (expression + (simple_name))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (delay_mechanism + (inertial + reject: (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) + (waveforms + (waveform_element + (expression + (simple_name)))))) + +================================================================================ +Waveform element +================================================================================ +t <= val; +t <= val after 42 ns; +t <= null after 42 ns; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_name))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_name)) + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name)))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (null)) + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))))) + +================================================================================ +Waveforms +================================================================================ +t <= unaffected; +t <= val1 after 10 ns, + val2 after 20 ns, + null; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (unaffected))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_name)) + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name)))) + (waveform_element + (expression + (simple_name)) + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name)))) + (waveform_element + (expression + (null)))))) + +================================================================================ +Simple force assignment +================================================================================ +t <= force expr; +t <= force in expr; +t <= force out expr; +-------------------------------------------------------------------------------- + +(design_file + (simple_force_assignment + target: (simple_name) + (expression + (simple_name))) + (simple_force_assignment + target: (simple_name) + (force_mode) + (expression + (simple_name))) + (simple_force_assignment + target: (simple_name) + (force_mode) + (expression + (simple_name)))) + +================================================================================ +Simple release assignment +================================================================================ +t <= release; +t <= release in; +t <= release out; +-------------------------------------------------------------------------------- + +(design_file + (simple_release_assignment + target: (simple_name)) + (simple_release_assignment + target: (simple_name) + (force_mode)) + (simple_release_assignment + target: (simple_name) + (force_mode))) + +================================================================================ +Guarded assignment +================================================================================ +t <= guarded w; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_name)))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/assert.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/assert.txt new file mode 100644 index 000000000..4ebd33e74 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/assert.txt @@ -0,0 +1,57 @@ +============================== +Minimal +============================== +assert a; +A1: assert a; +--- + +(design_file + (assertion_statement + (conditional_expression + (simple_name))) + (assertion_statement + (label + (identifier)) + (conditional_expression + (simple_name)))) + +============================== +Report +============================== +assert a report "str"; +--- + +(design_file + (assertion_statement + (conditional_expression + (simple_name)) + (string_expression + (string_literal)))) + +============================== +Severity +============================== +assert a severity note; +--- + +(design_file + (assertion_statement + (conditional_expression + (simple_name)) + (severity_expression + (simple_name)))) + +============================== +Report and severity +============================== +assert a report K_MSG severity failure; +--- + +(design_file + (assertion_statement + (conditional_expression + (simple_name)) + (string_expression + (simple_name)) + (severity_expression + (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/block.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/block.txt new file mode 100644 index 000000000..48b81bd4c --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/block.txt @@ -0,0 +1,176 @@ +============================== +Minimal +============================== +L1: block +begin +end block; + +B2: block is +begin +end block B2; +---- + +(design_file + (block_statement + (label (identifier))) + (block_statement + (label (identifier)) + at_end: (simple_name))) + +============================== +Guard condition +============================== +L1: block (cond) is +begin +end block; +--- + +(design_file + (block_statement + (label + (identifier)) + guard: (conditional_expression + (simple_name)))) + +============================== +Block header - Generic clause +============================== +L1: block is + generic (a:t); +begin +end block; +--- + +(design_file + (block_statement + (label + (identifier)) + (block_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))))) + +================================== +Block header - Generic map aspect +================================== +L1: block is + generic (a:t); + generic map (b); +begin +end block; +--- + +(design_file + (block_statement + (label + (identifier)) + (block_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon)) + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))) + (semicolon))))) + +============================== +Block header - Port clause +============================== +L1: block is + port (a:t); +begin +end block; +--- + +(design_file + (block_statement + (label + (identifier)) + (block_header + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))))) + +============================== +Block header - Port map aspect +============================== +L1: block is + port (a:t); + port map (b); +begin +end block; +--- + +(design_file + (block_statement + (label + (identifier)) + (block_header + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon)) + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))) + (semicolon))))) + +============================== +Declarative part +============================== +L1: block is + alias a is s; +begin +end block; +--- + +(design_file + (block_statement + (label + (identifier)) + (declarative_part + (alias_declaration + designator: (identifier) + denotator: (simple_name))))) + +============================== +Sequential part +============================== +L1: block is +begin + PL: p; +end block; +--- + +(design_file + (block_statement + (label + (identifier)) + (concurrent_statement_part + (procedure_call_statement + (label + (identifier)) + procedure: (simple_name))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/component_instantiation.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/component_instantiation.txt new file mode 100644 index 000000000..0bceca09e --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/component_instantiation.txt @@ -0,0 +1,438 @@ +================================================================================ +Minimal (ambigous) +================================================================================ +L1: unit; +-------------------------------------------------------------------------------- + +(design_file + (procedure_call_statement + (label + (identifier)) + procedure: (simple_name))) + +================================================================================ +Minimal (ambigous) - II +================================================================================ +L1: pkg.unit; +-------------------------------------------------------------------------------- + +(design_file + (procedure_call_statement + (label + (identifier)) + procedure: (selected_name + prefix: (simple_name) + suffix: (simple_name)))) + +================================================================================ +Instantiation unit - Component +================================================================================ +L1: component unit; +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (simple_name)))) + +================================================================================ +Instantiation unit - Entity I +================================================================================ +L1: entity lib.e; +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (entity_instantiation + entity: (selected_name + prefix: (simple_name) + suffix: (simple_name))))) + +================================================================================ +Instantiation unit - Entity II +================================================================================ +L1: entity lib.e(rtl); +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (entity_instantiation + entity: (selected_name + prefix: (simple_name) + suffix: (simple_name)) + architecture: (simple_name)))) + +================================================================================ +Instantiation unit - Configuration +================================================================================ +L1: configuration lib.cfg; +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (configuration_instantiation + configuration: (selected_name + prefix: (simple_name) + suffix: (simple_name))))) + +================================================================================ +Generic map aspect - Simple name +================================================================================ +L1: unit +generic map (a,b,c); +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (simple_name)) + (component_map_aspect + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +================================================================================ +Generic map aspect - Expanded name +================================================================================ +L1: pkg.unit +generic map (a,b,c); +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (selected_name + prefix: (simple_name) + suffix: (simple_name))) + (component_map_aspect + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +================================================================================ +Port map aspect - Simple name +================================================================================ +L1: unit +port map (a,b,c); +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (simple_name)) + (component_map_aspect + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +================================================================================ +Port map aspect - Expanded name +================================================================================ +L1: pkg.unit +port map (a,b,c); +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (selected_name + prefix: (simple_name) + suffix: (simple_name))) + (component_map_aspect + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +================================================================================ +Generic and port map aspect - Simple name +================================================================================ +L1: unit +generic map (a,b,c) + port map (open,a); +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (simple_name)) + (component_map_aspect + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name))))) + (port_map_aspect + (association_list + (positional_association_element + actual_part: (open)) + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +================================================================================ +Generic and port map aspect - Expanded name +================================================================================ +L1: pkg.unit +generic map (a,b,c) + port map (open,a); +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (selected_name + prefix: (simple_name) + suffix: (simple_name))) + (component_map_aspect + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name))))) + (port_map_aspect + (association_list + (positional_association_element + actual_part: (open)) + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +================================================================================ +Type declaration +================================================================================ +L1: unit +generic map (integer range 0 to 7); +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (simple_name)) + (component_map_aspect + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (subtype_indication + (type_mark + (simple_name)) + (range_constraint + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal))))))))))) + +================================================================================ +Selected name on port map aspect +================================================================================ +L1: unit +port map (a.b => c); +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (simple_name)) + (component_map_aspect + (port_map_aspect + (association_list + (named_association_element + formal_part: (selected_name + prefix: (simple_name) + suffix: (simple_name)) + actual_part: (expression + (simple_name)))))))) + +================================================================================ +LINT: Component instantiation - Semicolon +================================================================================ +l : c +generic map (k); -- here +port map (s); +-------------------------------------------------------------------------------- + +(design_file + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (simple_name)) + (component_map_aspect + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))) + (semicolon)) + (comment) + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +================================================================================ +LINT: Component instantiation - Headers +================================================================================ +-- Illegal +l : c +generic (k:t) +port (s:t); + +-- Duplicated +l : c +generic map (s) +generic map (s); + +-- Duplicated +l : c +port map (s) +port map (s); + +-- Wrong order +l : c +port map (s) +generic map (s); +-------------------------------------------------------------------------------- + +(design_file + (comment) + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (simple_name)) + (component_map_aspect + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))))) + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))))))) + (comment) + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (simple_name)) + (component_map_aspect + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))) + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))))) + (comment) + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (simple_name)) + (component_map_aspect + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))) + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))))) + (comment) + (component_instantiation_statement + (label + (identifier)) + (component_instantiation + component: (simple_name)) + (component_map_aspect + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))) + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/generate.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/generate.txt new file mode 100644 index 000000000..65584751f --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/generate.txt @@ -0,0 +1,567 @@ +==================================== +For generate - Minimal +==================================== +G1: +for i in r + generate + end generate; + +G1: +for i in r + generate + end generate G1; +--- + +(design_file + (for_generate_statement + (label + (identifier)) + (parameter_specification + name: (identifier) + (subtype_indication + (type_mark + (simple_name))))) + (for_generate_statement + (label + (identifier)) + (parameter_specification + name: (identifier) + (subtype_indication + (type_mark + (simple_name)))) + at_end: (simple_name))) + +==================================== +For generate - Body +==================================== +G2: +for i in r + generate + begin + end generate; + +G3: +for i in r + generate + end; + end generate; + +G4: +for i in r + generate + begin + end; + end generate; +--- + +(design_file + (for_generate_statement + (label (identifier)) + (parameter_specification + name: (identifier) + (subtype_indication + (type_mark (simple_name)))) + (generate_statement_body)) + (for_generate_statement + (label (identifier)) + (parameter_specification + name: (identifier) + (subtype_indication + (type_mark (simple_name)))) + (generate_statement_body)) + (for_generate_statement + (label (identifier)) + (parameter_specification + name: (identifier) + (subtype_indication + (type_mark (simple_name)))) + (generate_statement_body))) + +================================================== +Generate statement body - Block declarative part I +================================================== +G1: +for i in r + generate + subtype t is t2; + begin + end generate; + +G2: +for i in r + generate + subtype t is t2; + begin + end; + end generate; +--- + +(design_file + (for_generate_statement + (label + (identifier)) + (parameter_specification + name: (identifier) + (subtype_indication + (type_mark + (simple_name)))) + (generate_statement_body + (declarative_part + (subtype_declaration + name: (identifier) + (subtype_indication + (type_mark + (simple_name))))))) + (for_generate_statement + (label + (identifier)) + (parameter_specification + name: (identifier) + (subtype_indication + (type_mark + (simple_name)))) + (generate_statement_body + (declarative_part + (subtype_declaration + name: (identifier) + (subtype_indication + (type_mark + (simple_name)))))))) + +================================================== +Generate statement body - Concurrent statement I +================================================== +G1: +for i in r + generate + + end; + end generate; + +G2: +for i in r + generate + begin + B1: block + begin + end block; + end generate; + +G3: +for i in r + generate + begin + B1: block + begin + end block; + end; + end generate; +--- + +(design_file + (for_generate_statement + (label (identifier)) + (parameter_specification + name: (identifier) + (subtype_indication + (type_mark (simple_name)))) + (generate_statement_body)) + (for_generate_statement + (label (identifier)) + (parameter_specification + name: (identifier) + (subtype_indication + (type_mark (simple_name)))) + (generate_statement_body + (block_statement + (label (identifier))))) + (for_generate_statement + (label (identifier)) + (parameter_specification + name: (identifier) + (subtype_indication + (type_mark (simple_name)))) + (generate_statement_body + (block_statement + (label (identifier)))))) + +==================================== +If generate - Minimal +==================================== +G1: +if c generate +end generate; +--- + +(design_file + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name))))) + +==================================== +If generate - Elsif I +==================================== +G1: +if c1 generate +elsif c2 generate +end generate; +--- + +(design_file + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name))) + (elsif_generate + (conditional_expression + (simple_name))))) + +==================================== +If generate - Elsif II +==================================== +G1: +if c1 generate +elsif c2 generate +elsif c2 generate +end generate; +--- + +(design_file + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name))) + (elsif_generate + (conditional_expression + (simple_name))) + (elsif_generate + (conditional_expression + (simple_name))))) + +==================================== +If generate - Else +==================================== +G1: +if c generate +else generate +end generate; +--- + +(design_file + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name))) + (else_generate))) + +==================================== +If generate - All alternatives +==================================== +G1: +if c1 generate +elsif c2 generate +else generate +end generate; +--- + +(design_file + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name))) + (elsif_generate + (conditional_expression + (simple_name))) + (else_generate))) + +==================================== +If generate - Generate body - If +==================================== +G_BEGIN: +if c generate + begin +end generate; + +G_END: +if c generate + end; +end generate; + +G_BOTH: +if c generate + begin + end; +end generate; +--- + +(design_file + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name)) + (generate_statement_body))) + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name)) + (generate_statement_body))) + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name)) + (generate_statement_body)))) + +==================================== +If generate - Generate body - Elsif +==================================== +G1: +if c generate +elsif x generate + begin +elsif x generate + end; +elsif x generate + begin + end; +end generate; +--- + +(design_file + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name))) + (elsif_generate + (conditional_expression + (simple_name)) + (generate_statement_body)) + (elsif_generate + (conditional_expression + (simple_name)) + (generate_statement_body)) + (elsif_generate + (conditional_expression + (simple_name)) + (generate_statement_body)))) + +==================================== +If generate - Else +==================================== +G_BEGIN: +if c generate +else generate + begin +end generate; + +G_END: +if c generate +else generate + end; +end generate; + +G_BOTH: +if c generate +else generate + begin + end; +end generate; +--- + +(design_file + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name))) + (else_generate + (generate_statement_body))) + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name))) + (else_generate + (generate_statement_body))) + (if_generate_statement + (label + (identifier)) + (if_generate + (conditional_expression + (simple_name))) + (else_generate + (generate_statement_body)))) + +==================================== +If generate - Labels +==================================== +G1: +if A1: c generate +elsif A2: c generate +else A3: generate +end generate G1; +--- + +(design_file + (if_generate_statement + (label + (identifier)) + (if_generate + (label + (identifier)) + (conditional_expression + (simple_name))) + (elsif_generate + (label + (identifier)) + (conditional_expression + (simple_name))) + (else_generate + (label + (identifier))) + at_end: (simple_name))) + +==================================== +If generate - Generate body label +==================================== +G1: +if A1: c generate +elsif A2: c generate + begin + end A2; +else A3: generate + end A3; +end generate G1; +--- + +(design_file + (if_generate_statement + (label + (identifier)) + (if_generate + (label + (identifier)) + (conditional_expression + (simple_name))) + (elsif_generate + (label + (identifier)) + (conditional_expression + (simple_name)) + (generate_statement_body + at_end: (simple_name))) + (else_generate + (label + (identifier)) + (generate_statement_body + at_end: (simple_name))) + at_end: (simple_name))) + +==================================== +Case generate - Minimal +==================================== +G1: +case e generate + when a => +end generate; +--- + +(design_file + (case_generate_statement + (label + (identifier)) + (expression + (simple_name)) + (case_generate_alternative + (choices + (simple_expression + (simple_name)))))) + +==================================== +Case generate - Alternative +==================================== +G1: +case e generate + when a => + when b | c => + when others => +end generate; +--- + +(design_file + (case_generate_statement + (label + (identifier)) + (expression + (simple_name)) + (case_generate_alternative + (choices + (simple_expression + (simple_name)))) + (case_generate_alternative + (choices + (simple_expression + (simple_name)) + (simple_expression + (simple_name)))) + (case_generate_alternative + (choices + (others))))) + +==================================== +Case generate - Generate body +==================================== +G1: +case e generate + when a => + begin + when b | c => + end; + when others => + begin + end; +end generate; +--- + +(design_file + (case_generate_statement + (label + (identifier)) + (expression + (simple_name)) + (case_generate_alternative + (choices + (simple_expression + (simple_name))) + (generate_statement_body)) + (case_generate_alternative + (choices + (simple_expression + (simple_name)) + (simple_expression + (simple_name))) + (generate_statement_body)) + (case_generate_alternative + (choices + (others)) + (generate_statement_body)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/process.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/process.txt new file mode 100644 index 000000000..794436a8d --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/concurrent_statements/process.txt @@ -0,0 +1,79 @@ +============================= +Minimal +============================= +process +begin +end process; + +L1: process is +begin +end process L1; +--- + +(design_file + (process_statement) + (process_statement + (label (identifier)) + at_end: (simple_name))) + +============================= +Sequential statement +============================= +process +begin + wait on a; +end process; +--- + +(design_file + (process_statement + (sequence_of_statements + (wait_statement + (sensitivity_list + (simple_name)))))) + +============================= +Sensitivity list - All +============================= +process (all) +begin +end process; +--- + +(design_file + (process_statement + (sensitivity_list + (all)))) + +============================= +Sensitivity list - Signals +============================= +process (a,b) +begin +end process; +--- + +(design_file + (process_statement + (sensitivity_list + (simple_name) + (simple_name)))) + +============================= +Declarative part +============================= +process + constant k : t := g; +begin +end process; +--- + +(design_file + (process_statement + (declarative_part + (constant_declaration + (identifier_list (identifier)) + (subtype_indication + (type_mark (simple_name))) + (default_expression + (simple_name)))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/conflicts/conflict1.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/conflicts/conflict1.txt new file mode 100644 index 000000000..b6b7d849a --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/conflicts/conflict1.txt @@ -0,0 +1,77 @@ +=================================== +Conflict I - Function call +=================================== +assert (foo (open)); +--- + +(design_file + (assertion_statement + (conditional_expression + (parenthesized_expression + (function_call + function: (simple_name) + (association_list + (positional_association_element + actual_part: (open)))))))) + +=================================== +Conflict I - Subtype indication constraint +=================================== +assert new foobar (foo (open)); +--- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (record_constraint + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (open)))))))))) + +=================================== +Conflict II - Slice name +=================================== +assert (foo (h downto l)); +--- + +(design_file + (assertion_statement + (conditional_expression + (parenthesized_expression + (slice_name + prefix: (simple_name) + (descending_range + high: (simple_expression + (simple_name)) + low: (simple_expression + (simple_name)))))))) + +=================================== +Conflict II - Subtype indication constraint +=================================== +assert new foobar (foo (h downto l)); +--- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (record_constraint + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (descending_range + high: (simple_expression + (simple_name)) + low: (simple_expression + (simple_name)))))))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/access_type.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/access_type.txt new file mode 100644 index 000000000..bac761600 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/access_type.txt @@ -0,0 +1,13 @@ +============== +Minimal +============== +type foo is access bar; +--- + +(design_file + (full_type_declaration + (identifier) + (access_type_definition + (subtype_indication + (type_mark + (simple_name)))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/alias.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/alias.txt new file mode 100644 index 000000000..805efa0f5 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/alias.txt @@ -0,0 +1,70 @@ +=========================== +Designator - Identifier +=========================== +alias a is b; +--- + +(design_file + (alias_declaration + designator: (identifier) + denotator: (simple_name))) + +============================== +Designator - Character literal +============================== +alias '0' is STD.STANDARD.'0' [return STD.STANDARD.BIT]; +--- + +(design_file + (alias_declaration + designator: (character_literal) + denotator: (selected_name + prefix: (selected_name + prefix: (simple_name) + suffix: (simple_name)) + suffix: (character_literal)) + (signature + (return + (type_mark + (selected_name + prefix: (selected_name + prefix: (simple_name) + suffix: (simple_name)) + suffix: (simple_name))))))) + +============================== +Designator - Operator symbol +============================== +alias "or" is STD.STANDARD."or" [STD.STANDARD.BIT, + STD.STANDARD.BIT + return STD.STANDARD.BIT]; +--- + +(design_file + (alias_declaration + designator: (operator_symbol) + denotator: (selected_name + prefix: (selected_name + prefix: (simple_name) + suffix: (simple_name)) + suffix: (operator_symbol)) + (signature + (type_mark + (selected_name + prefix: (selected_name + prefix: (simple_name) + suffix: (simple_name)) + suffix: (simple_name))) + (type_mark + (selected_name + prefix: (selected_name + prefix: (simple_name) + suffix: (simple_name)) + suffix: (simple_name))) + (return + (type_mark + (selected_name + prefix: (selected_name + prefix: (simple_name) + suffix: (simple_name)) + suffix: (simple_name))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/architecture_body.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/architecture_body.txt new file mode 100644 index 000000000..950d78d29 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/architecture_body.txt @@ -0,0 +1,30 @@ +============================== +Minimal +============================== +architecture rtl of ent is +begin +end; + +architecture rtl of ent is +begin +end architecture; + +architecture rtl of ent is +begin +end architecture rtl; +--- + +(design_file + (design_unit + (architecture_body + name: (identifier) + entity: (simple_name))) + (design_unit + (architecture_body + name: (identifier) + entity: (simple_name))) + (design_unit + (architecture_body + name: (identifier) + entity: (simple_name) + at_end: (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/attribute.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/attribute.txt new file mode 100644 index 000000000..ae09a28f1 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/attribute.txt @@ -0,0 +1,123 @@ +================================================================================ +Declaration +================================================================================ +attribute a : t; +-------------------------------------------------------------------------------- + +(design_file + (attribute_declaration + (identifier) + (type_mark + (simple_name)))) + +================================================================================ +Specification +================================================================================ +attribute attr of e : entity is expr; +-------------------------------------------------------------------------------- + +(design_file + (attribute_specification + (simple_name) + (entity_specification + (entity_name_list + (entity_designator + (simple_name))) + (entity_class)) + (expression + (simple_name)))) + +================================================================================ +Specification [LRM] I +================================================================================ +attribute LOCATION of ADDER1 : label is (10,15); +-------------------------------------------------------------------------------- + +(design_file + (attribute_specification + (simple_name) + (entity_specification + (entity_name_list + (entity_designator + (simple_name))) + (entity_class)) + (expression + (aggregate + (positional_element_association + (expression + (integer_decimal))) + (positional_element_association + (expression + (integer_decimal))))))) + +================================================================================ +Specification [LRM] II +================================================================================ +attribute IMPLEMENTATION of G1: group is "74LS152"; +-------------------------------------------------------------------------------- + +(design_file + (attribute_specification + (simple_name) + (entity_specification + (entity_name_list + (entity_designator + (simple_name))) + (entity_class)) + (expression + (string_literal)))) + +================================================================================ +Specification [LRM] III +================================================================================ +attribute CAPACITANCE of all: signal is 15 pF; +-------------------------------------------------------------------------------- + +(design_file + (attribute_specification + name: (simple_name) + (entity_specification + (entity_name_list + (all)) + (entity_class)) + (expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) + +================================================================================ +Xilinx Attribute I +================================================================================ +attribute ASYNC_REG : string; +attribute ASYNC_REG of sync_regs : signal is "TRUE"; +attribute ASYNC_REG : boolean; +attribute ASYNC_REG of sync_regs : signal is true; +-------------------------------------------------------------------------------- + +(design_file + (attribute_declaration + (identifier) + (type_mark + (simple_name))) + (attribute_specification + (simple_name) + (entity_specification + (entity_name_list + (entity_designator + (simple_name))) + (entity_class)) + (expression + (string_literal))) + (attribute_declaration + (identifier) + (type_mark + (simple_name))) + (attribute_specification + (simple_name) + (entity_specification + (entity_name_list + (entity_designator + (simple_name))) + (entity_class)) + (expression + (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/component.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/component.txt new file mode 100644 index 000000000..19921912e --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/component.txt @@ -0,0 +1,187 @@ +============================= +Minimal +============================= +component c +end component; + +component c is +end component; + +component c is +end component c; +--- + +(design_file + (component_declaration + name: (identifier)) + (component_declaration + name: (identifier)) + (component_declaration + name: (identifier) + at_end: (simple_name))) + +============================= +Header +============================= +component c + generic (k : t); + port (s : t); +end component; +--- + +(design_file + (component_declaration + name: (identifier) + (component_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon)) + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))))) + +============================= +LINT: Missing semicolon +============================= +component c + generic (k:t) -- here + port (s:t) -- here +end component; +--- + +(design_file + (component_declaration + name: (identifier) + (component_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))))) + (comment) + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))))) + (comment))) + +============================= +LINT: Illegal headers +============================= +-- Illegal +component c + generic map (x); + port map (x); +end component; + +-- Duplicated +component c + generic (k:t); + generic (k:t); +end component; + +-- Duplicated +component c + port (s:t); + port (s:t); +end component; + +-- Wrong order +component c + port (s:t); + generic (k:t); +end component; +--- + +(design_file + (comment) + (component_declaration + name: (identifier) + (component_header + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))) + (semicolon)) + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))) + (semicolon)))) + (comment) + (component_declaration + name: (identifier) + (component_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon)) + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon)))) + (comment) + (component_declaration + name: (identifier) + (component_header + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon)) + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon)))) + (comment) + (component_declaration + name: (identifier) + (component_header + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon)) + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/composite_type.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/composite_type.txt new file mode 100644 index 000000000..b07da6991 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/composite_type.txt @@ -0,0 +1,151 @@ +================================================================================ +Unbounded array type I +================================================================================ +type att_t is array (a range <>) of b; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (unbounded_array_definition + (index_subtype_definition + (type_mark + (simple_name)) + (any)) + element: (subtype_indication + (type_mark + (simple_name)))))) + +================================================================================ +Unbounded array type II +================================================================================ +type att_t is array (a range <>, b range <>) of c; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (unbounded_array_definition + (index_subtype_definition + (type_mark + (simple_name)) + (any)) + (index_subtype_definition + (type_mark + (simple_name)) + (any)) + element: (subtype_indication + (type_mark + (simple_name)))))) + +================================================================================ +Constrained array type - Subtype indication +================================================================================ +type att_t is array (subtype_t) of foo; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (constrained_array_definition + (index_constraint + (subtype_indication + (type_mark + (simple_name)))) + element: (subtype_indication + (type_mark + (simple_name)))))) + +================================================================================ +Constrained array type - Range +================================================================================ +type att_t is array (7 downto 0) of foo; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (constrained_array_definition + (index_constraint + (descending_range + high: (simple_expression + (integer_decimal)) + low: (simple_expression + (integer_decimal)))) + element: (subtype_indication + (type_mark + (simple_name)))))) + +================================================================================ +Record type I +================================================================================ +type rec_t is +record + e1 : elemt_t; +end record; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (record_type_definition + (element_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))))))) + +================================================================================ +Record type II +================================================================================ +type rec_t is +record + e1 : arr (st); +end record; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (record_type_definition + (element_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)) + (array_constraint + (index_constraint + (subtype_indication + (type_mark + (simple_name)))))))))) + +================================================================================ +Record type III +================================================================================ +type rec_t is + record + e1 : elemt_t; + e2, e3 : elemt_t; + end record rec_t; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (record_type_definition + (element_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (element_declaration + (identifier_list + (identifier) + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + at_end: (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/configuration.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/configuration.txt new file mode 100644 index 000000000..1273222bf --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/configuration.txt @@ -0,0 +1,173 @@ +================================================================================ +Minimal +================================================================================ +configuration cfg of ent is +end; + +configuration cfg of ent is +end configuration; + +configuration cfg of ent is +end configuration cfg; +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (configuration_declaration + name: (identifier) + entity: (simple_name))) + (design_unit + (configuration_declaration + name: (identifier) + entity: (simple_name))) + (design_unit + (configuration_declaration + name: (identifier) + entity: (simple_name) + at_end: (simple_name)))) + +================================================================================ +Expanded name +================================================================================ +configuration cfg of lib.ent is +end; +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (configuration_declaration + name: (identifier) + entity: (selected_name + prefix: (simple_name) + suffix: (simple_name))))) + +================================================================================ +Block configuration - Minimal +================================================================================ +configuration cfg of ent is + for arch + end for; +end; + +configuration cfg of ent is + for gen(GEN1) + end for; +end; + +configuration cfg of ent is + for gen(0 to 1) + end for; +end; + +configuration cfg of ent is + for gen(1+1) + end for; +end; +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (configuration_declaration + name: (identifier) + entity: (simple_name) + (block_configuration + (block_specification + name_or_label: (simple_name))))) + (design_unit + (configuration_declaration + name: (identifier) + entity: (simple_name) + (block_configuration + (block_specification + (generate_statement_element + label: (simple_name) + specification: (simple_name)))))) + (design_unit + (configuration_declaration + name: (identifier) + entity: (simple_name) + (block_configuration + (block_specification + (generate_statement_element + label: (simple_name) + specification: (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))))))) + (design_unit + (configuration_declaration + name: (identifier) + entity: (simple_name) + (block_configuration + (block_specification + (generate_statement_element + label: (simple_name) + specification: (expression + (simple_expression + (integer_decimal) + (integer_decimal))))))))) + +================================================================================ +LRM 3.4.1 +================================================================================ +library TTL, Work; +configuration V4_27_87 of Processor is + use Work.all; + for Structure_View + for A1: ALU + use configuration TTL.SN74LS181; + end for; + for M1,M2,M3: MUX + use entity Multiplex4 (Behavior); + end for; + for all: Latch + -- use defaults + end for; + end for; +end configuration V4_27_87; +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (context_clause + (library_clause + (logical_name_list + library: (simple_name) + library: (simple_name)))) + (configuration_declaration + name: (identifier) + entity: (simple_name) + (declarative_part + (use_clause + (selected_name + prefix: (simple_name) + suffix: (all)))) + (block_configuration + (block_specification + name_or_label: (simple_name)) + (component_configuration + (instantiation_list + (simple_name)) + component: (simple_name) + (binding_indication + (configuration_instantiation + configuration: (selected_name + prefix: (simple_name) + suffix: (simple_name))))) + (component_configuration + (instantiation_list + (simple_name) + (simple_name) + (simple_name)) + component: (simple_name) + (binding_indication + (entity_instantiation + entity: (simple_name) + architecture: (simple_name)))) + (component_configuration + (instantiation_list + (all)) + component: (simple_name) + (comment))) + at_end: (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/configuration_specification.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/configuration_specification.txt new file mode 100644 index 000000000..3d84e5bc4 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/configuration_specification.txt @@ -0,0 +1,324 @@ +============================================= +Simple configuration specification +============================================= +for l : c + use open; + +for l : c + use open; +end for; +--- + +(design_file + (configuration_specification + (instantiation_list + (simple_name)) + component: (simple_name) + (binding_indication (open))) + (configuration_specification + (instantiation_list + (simple_name)) + component: (simple_name) + (binding_indication (open)))) + +============================================= +Compound configuration specification +============================================= +for l : c + use open; + use vunit vu; +end for; +--- + +(design_file + (configuration_specification + (instantiation_list + (simple_name)) + component: (simple_name) + (binding_indication (open)) + (verification_unit_binding_indication + (verification_unit_list + (simple_name))))) + +============================================= +Instantiation list +============================================= +for l1, l2 : c + use open; + +for others : c + use open; + +for all : c + use open; +--- + +(design_file + (configuration_specification + (instantiation_list + (simple_name) + (simple_name)) + component: (simple_name) + (binding_indication (open))) + (configuration_specification + (instantiation_list (others)) + component: (simple_name) + (binding_indication (open))) + (configuration_specification + (instantiation_list (all)) + component: (simple_name) + (binding_indication (open)))) + +============================================= +Binding indication - Entity aspect +============================================= +for all : c + use entity e; + +for all : c + use entity e(a); + +for all : c + use configuration c; + +for all : c + use open; +--- + +(design_file + (configuration_specification + (instantiation_list (all)) + component: (simple_name) + (binding_indication + (entity_instantiation + entity: (simple_name)))) + (configuration_specification + (instantiation_list (all)) + component: (simple_name) + (binding_indication + (entity_instantiation + entity: (simple_name) + architecture: (simple_name)))) + (configuration_specification + (instantiation_list (all)) + component: (simple_name) + (binding_indication + (configuration_instantiation + configuration: (simple_name)))) + (configuration_specification + (instantiation_list (all)) + component: (simple_name) + (binding_indication (open)))) + +============================================= +Binding indication - Generic map aspects +============================================= +for all : c + generic map (a); +--- + +(design_file + (configuration_specification + (instantiation_list + (all)) + component: (simple_name) + (binding_indication + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +============================================= +Binding indication - Port map aspects +============================================= +for all : c + port map (a); +--- + +(design_file + (configuration_specification + (instantiation_list + (all)) + component: (simple_name) + (binding_indication + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +============================================= +Binding indication - Both map aspects +============================================= +for all : c + generic map (a) + port map (a); +--- + +(design_file + (configuration_specification + (instantiation_list + (all)) + component: (simple_name) + (binding_indication + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))) + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +============================================= +LINT: Binding indication (open) +============================================= +for all : c + use open + generic map (a) -- illegal + port map (a); -- illegal +--- + +(design_file + (configuration_specification + (instantiation_list + (all)) + component: (simple_name) + (binding_indication + (open) + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))) + (comment) + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))))) + (comment)) + +============================================= +LINT: Binding indication - Semicolon +============================================= +for all : c + generic map (x); -- here + port map (x); +--- + +(design_file + (configuration_specification + (instantiation_list + (all)) + component: (simple_name) + (binding_indication + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))) + (semicolon)) + (comment) + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +============================================= +LINT: Binding indication - Header +============================================= +-- Illegal +for all : c + generic (k:t) + port (s:t); + +-- Duplicated +for all : c + generic map (x) + generic map (x); + +-- Duplicated +for all : c + port map (x) + port map (x); + +-- Wrong order +for all : c + port map (x) + generic map (x); +--- + +(design_file + (comment) + (configuration_specification + (instantiation_list + (all)) + component: (simple_name) + (binding_indication + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))))) + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))))))) + (comment) + (configuration_specification + (instantiation_list + (all)) + component: (simple_name) + (binding_indication + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))) + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))))) + (comment) + (configuration_specification + (instantiation_list + (all)) + component: (simple_name) + (binding_indication + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))) + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))))) + (comment) + (configuration_specification + (instantiation_list + (all)) + component: (simple_name) + (binding_indication + (port_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))) + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/disconnection.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/disconnection.txt new file mode 100644 index 000000000..8be0a1c3e --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/disconnection.txt @@ -0,0 +1,58 @@ +================================================================================ +Minimal +================================================================================ +disconnect s : t after 10 ns; +-------------------------------------------------------------------------------- + +(design_file + (disconnection_specification + (guarded_signal_specification + (signal_list + (simple_name)) + (type_mark + (simple_name))) + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) + +================================================================================ +Signal list - Multiple signals +================================================================================ +disconnect all : t after 10 ns; +disconnect others : t after 10 ns; +disconnect s1, s2 : t after 10 ns; +-------------------------------------------------------------------------------- + +(design_file + (disconnection_specification + (guarded_signal_specification + (signal_list + (all)) + (type_mark + (simple_name))) + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name)))) + (disconnection_specification + (guarded_signal_specification + (signal_list + (others)) + (type_mark + (simple_name))) + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name)))) + (disconnection_specification + (guarded_signal_specification + (signal_list + (simple_name) + (simple_name)) + (type_mark + (simple_name))) + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/entity.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/entity.txt new file mode 100644 index 000000000..6402befe4 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/entity.txt @@ -0,0 +1,31 @@ +=============================== +Minimal +=============================== +entity e is +end; + +entity e is +end entity; + +entity e is +end e; + +entity e is +end entity e; +--- + +(design_file + (design_unit + (entity_declaration + name: (identifier))) + (design_unit + (entity_declaration + name: (identifier))) + (design_unit + (entity_declaration + name: (identifier) + at_end: (simple_name))) + (design_unit + (entity_declaration + name: (identifier) + at_end: (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/file_type.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/file_type.txt new file mode 100644 index 000000000..f77eda6f6 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/file_type.txt @@ -0,0 +1,12 @@ +==================== +Minimal +==================== +type FT is file of TM; +--- + +(design_file + (full_type_declaration + (identifier) + (file_type_definition + (type_mark + (simple_name))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/group.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/group.txt new file mode 100644 index 000000000..eff842d00 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/group.txt @@ -0,0 +1,102 @@ +==================================== +Template - Minimal +==================================== +group g is (signal); +--- + +(design_file + (group_template_declaration + name: (identifier) + (entity_class_entry_list + (entity_class_entry + (entity_class))))) + +==================================== +Template - Entry list +==================================== +group g is (signal, signal); +group g is (group <>); +--- + +(design_file + (group_template_declaration + name: (identifier) + (entity_class_entry_list + (entity_class_entry + (entity_class)) + (entity_class_entry + (entity_class)))) + (group_template_declaration + name: (identifier) + (entity_class_entry_list + (entity_class_entry + (entity_class) + (any))))) + +==================================== +Group declaration - Minimal +==================================== +group G1 : E (L2); +--- + +(design_file + (group_declaration + name: (identifier) + template: (simple_name) + (group_constituent_list + (simple_name)))) + +==================================== +Group declaration - LRM I +==================================== +group G1: RESOURCE (L1, L2); +group G2: RESOURCE (L3, L4, L5); +--- + +(design_file + (group_declaration + name: (identifier) + template: (simple_name) + (group_constituent_list + (simple_name) + (simple_name))) + (group_declaration + name: (identifier) + template: (simple_name) + (group_constituent_list + (simple_name) + (simple_name) + (simple_name)))) + +==================================== +Group declaration - LRM II +==================================== +group C2Q: PIN2PIN (PROJECT.GLOBALS.CK, Q); +--- + +(design_file + (group_declaration + name: (identifier) + template: (simple_name) + (group_constituent_list + (selected_name + prefix: (selected_name + prefix: (simple_name) + suffix: (simple_name)) + suffix: (simple_name)) + (simple_name)))) + +==================================== +Group declaration - LRM III +==================================== +group CONSTRAINT1: DIFF_CYCLES (G1, G3); +--- + +(design_file + (group_declaration + name: (identifier) + template: (simple_name) + (group_constituent_list + (simple_name) + (simple_name)))) + diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/incomplete_type.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/incomplete_type.txt new file mode 100644 index 000000000..f9ef5e669 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/incomplete_type.txt @@ -0,0 +1,9 @@ +==================== +Minimal +==================== +type t; +--- + +(design_file + (incomplete_type_declaration + (identifier))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/instantiations.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/instantiations.txt new file mode 100644 index 000000000..7cd274038 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/instantiations.txt @@ -0,0 +1,126 @@ +==================================== +Subprogram - Minimal +==================================== +function f is new u; +procedure p is new u; +--- + +(design_file + (function_instantiation_declaration + designator: (identifier) + uninstantiated: (simple_name)) + (procedure_instantiation_declaration + designator: (identifier) + uninstantiated: (simple_name))) + +==================================== +Subprogram - Signature +==================================== +function f is new u [return t]; +procedure p is new u [t]; +--- + +(design_file + (function_instantiation_declaration + designator: (identifier) + uninstantiated: (simple_name) + (signature + (return + (type_mark + (simple_name))))) + (procedure_instantiation_declaration + designator: (identifier) + uninstantiated: (simple_name) + (signature + (type_mark + (simple_name))))) + +==================================== +Subprogram - Generic map aspect +==================================== +function f is new u + generic map (a); + +procedure p is new u + generic map (a); +--- + +(design_file + (function_instantiation_declaration + designator: (identifier) + uninstantiated: (simple_name) + (subprogram_map_aspect + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name))))))) + (procedure_instantiation_declaration + designator: (identifier) + uninstantiated: (simple_name) + (subprogram_map_aspect + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +============================================ +Subprogram - Conflict resolution - Procedure +============================================ +procedure p is new u; +procedure p; +--- + +(design_file + (procedure_instantiation_declaration + designator: (identifier) + uninstantiated: (simple_name)) + (procedure_declaration + designator: (identifier))) + +============================================ +Subprogram - Conflict resolution - Function +============================================ +function f is new u; +function f return t; +--- + +(design_file + (function_instantiation_declaration + designator: (identifier) + uninstantiated: (simple_name)) + (function_declaration + designator: (identifier) + (return + (type_mark + (simple_name))))) + +==================================== +Package - Minimal +==================================== +package p is new u; +--- + +(design_file + (package_instantiation_declaration + name: (identifier) + uninstantiated: (simple_name))) + +==================================== +Package - Generic map aspect +==================================== +package p is new u + generic map (a); +--- + +(design_file + (package_instantiation_declaration + name: (identifier) + uninstantiated: (simple_name) + (package_map_aspect + (generic_map_aspect + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/objects.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/objects.txt new file mode 100644 index 000000000..47da5066e --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/objects.txt @@ -0,0 +1,234 @@ +================================================================================ +Minimal +================================================================================ +constant k: t; +signal s : t; +variable v: t; +file f : ft; +-------------------------------------------------------------------------------- + +(design_file + (constant_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (signal_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (variable_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (file_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))))) + +================================================================================ +Identifier list +================================================================================ +constant k1, k2 : t; +signal s1, s2, s3 : t; +variable v1, v2, v3, v4 : t; +file f1, f2, f3, f4, f5 : ft; +-------------------------------------------------------------------------------- + +(design_file + (constant_declaration + (identifier_list + (identifier) + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (signal_declaration + (identifier_list + (identifier) + (identifier) + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (variable_declaration + (identifier_list + (identifier) + (identifier) + (identifier) + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (file_declaration + (identifier_list + (identifier) + (identifier) + (identifier) + (identifier) + (identifier)) + (subtype_indication + (type_mark + (simple_name))))) + +================================================================================ +Default expression +================================================================================ +constant k : t := expr; +signal s : t := expr; +variable v : t := expr; +-------------------------------------------------------------------------------- + +(design_file + (constant_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name))) + (signal_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name))) + (variable_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name)))) + +================================================================================ +Shared variable +================================================================================ +shared variable sv : t; +-------------------------------------------------------------------------------- + +(design_file + (shared_variable_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))))) + +================================================================================ +Signal kind +================================================================================ +signal s : t bus; +signal s : t register; +-------------------------------------------------------------------------------- + +(design_file + (signal_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (signal_kind)) + (signal_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (signal_kind))) + +================================================================================ +File open information +================================================================================ +file f : ft is "fn.ext"; +file f : ft open write_mode is "fn.ext"; +file f : ft open f(x) is "fn.ext"; +-------------------------------------------------------------------------------- + +(design_file + (file_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (file_open_information + (string_expression + (string_literal)))) + (file_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (file_open_information + (file_open_kind + (simple_name)) + (string_expression + (string_literal)))) + (file_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (file_open_information + (file_open_kind + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))) + (string_expression + (string_literal))))) + +================================================================================ +Type Mark - Selected name [LRM] +================================================================================ +signal S : STANDARD.BIT_VECTOR (1 to 10); +-------------------------------------------------------------------------------- + +(design_file + (signal_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (selected_name + prefix: (simple_name) + suffix: (simple_name))) + (array_constraint + (index_constraint + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))))))) + +================================================================================ +Resolution function [LRM] +================================================================================ +signal OUTPUT: WIRED_OR MULTI_VALUED_LOGIC; +-------------------------------------------------------------------------------- + +(design_file + (signal_declaration + (identifier_list + (identifier)) + (subtype_indication + (resolution_function + (simple_name)) + (type_mark + (simple_name))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/package.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/package.txt new file mode 100644 index 000000000..2aff9ee9d --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/package.txt @@ -0,0 +1,49 @@ +==================================== +Package declaration - Minimal +==================================== +package pkg is +end; + +package pkg is +end package; + +package pkg is +end pkg; + +package pkg is +end package pkg; +--- + +(design_file + (package_declaration + name: (identifier)) + (package_declaration + name: (identifier)) + (package_declaration + name: (identifier) + at_end: (simple_name)) + (package_declaration + name: (identifier) + at_end: (simple_name))) + +========================== +Package body - Minimal +========================== +package body pkg is +end; + +package body pkg is +end package body; + +package body pkg is +end package body pkg; +--- + +(design_file + (package_body + package: (simple_name)) + (package_body + package: (simple_name)) + (package_body + package: (simple_name) + at_end: (simple_name))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/protected_type.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/protected_type.txt new file mode 100644 index 000000000..bdfc837ab --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/protected_type.txt @@ -0,0 +1,64 @@ +================================================================================ +Minimal +================================================================================ +type t is + protected + end protected; + +type t is + protected + end protected t; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (protected_type_declaration)) + (full_type_declaration + name: (identifier) + (protected_type_declaration + at_end: (simple_name)))) + +================================================================================ +LRM I +================================================================================ +type SharedCounter is protected + procedure increment (N: Integer := 1); + procedure decrement (N: Integer := 1); + impure function value return Integer; +end protected SharedCounter; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (protected_type_declaration + (declarative_part + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (integer_decimal))))) + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (integer_decimal))))) + (function_declaration + designator: (identifier) + (return + (type_mark + (simple_name))))) + at_end: (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/protected_type_body.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/protected_type_body.txt new file mode 100644 index 000000000..a4169ba71 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/protected_type_body.txt @@ -0,0 +1,69 @@ +================================================================================ +Minimal +================================================================================ +type t is + protected body + end protected body; + +type t is + protected body + end protected body t; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (protected_type_body)) + (full_type_declaration + name: (identifier) + (protected_type_body + at_end: (simple_name)))) + +================================================================================ +LRM I (Simplified) +================================================================================ +type SharedCounter is protected body + + variable counter: Integer := 0; + + procedure increment (N: Integer := 1) is + begin + counter := counter + N; + end procedure increment; + +end protected body SharedCounter; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (protected_type_body + (declarative_part + (variable_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (integer_decimal))) + (procedure_body + designator: (identifier) + (procedure_parameter_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (integer_decimal)))) + (sequence_of_statements + (simple_variable_assignment + target: (simple_name) + (expression + (simple_expression + (simple_name) + (simple_name))))) + at_end: (simple_name))) + at_end: (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/scalar_type.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/scalar_type.txt new file mode 100644 index 000000000..6585a7a3b --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/scalar_type.txt @@ -0,0 +1,179 @@ +================================================================================ +Enumeration type - Minimal +================================================================================ +type enum_t is ('a'); +type enum_t is (foo); +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (enumeration_type_definition + literal: (character_literal))) + (full_type_declaration + name: (identifier) + (enumeration_type_definition + literal: (identifier)))) + +================================================================================ +Enumeration type - Character type +================================================================================ +type enum_t is ('a', foo, bar); +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (enumeration_type_definition + literal: (character_literal) + literal: (identifier) + literal: (identifier)))) + +================================================================================ +Integer type definition +================================================================================ +type int_t is range 0 to 255; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (numeric_type_definition + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))))) + +================================================================================ +Floating type definition +================================================================================ +type float_t is range 2.0 to 8.0; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (numeric_type_definition + (ascending_range + low: (simple_expression + (real_decimal)) + high: (simple_expression + (real_decimal)))))) + +================================================================================ +Physical type definition - Minimal +================================================================================ +type phy_t is range l to h + units + p; + end units; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (physical_type_definition + (range_constraint + (ascending_range + low: (simple_expression + (simple_name)) + high: (simple_expression + (simple_name)))) + (primary_unit_declaration + name: (identifier))))) + +================================================================================ +Physical type definition +================================================================================ +type phy_t is range l to h + units + p; + a = p; + end units; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (physical_type_definition + (range_constraint + (ascending_range + low: (simple_expression + (simple_name)) + high: (simple_expression + (simple_name)))) + (primary_unit_declaration + name: (identifier)) + (secondary_unit_declaration + name: (identifier) + (physical_literal + unit: (simple_name)))))) + +================================================================================ +Physical type definition - LRM +================================================================================ +type byte_t is range 0 to 1E16 + units + B; + -- decimal + kB = 1000 B; + MB = 1000 kB; + GB = 1000 MB; + TB = 1000 GB; + -- binary + KiB = 1024 B; + MiB = 1024 KiB; + GiB = 1024 MiB; + end units; +-------------------------------------------------------------------------------- + +(design_file + (full_type_declaration + name: (identifier) + (physical_type_definition + (range_constraint + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))) + (primary_unit_declaration + name: (identifier)) + (comment) + (secondary_unit_declaration + name: (identifier) + (physical_literal + (integer_decimal) + unit: (simple_name))) + (secondary_unit_declaration + name: (identifier) + (physical_literal + (integer_decimal) + unit: (simple_name))) + (secondary_unit_declaration + name: (identifier) + (physical_literal + (integer_decimal) + unit: (simple_name))) + (secondary_unit_declaration + name: (identifier) + (physical_literal + (integer_decimal) + unit: (simple_name))) + (comment) + (secondary_unit_declaration + name: (identifier) + (physical_literal + (integer_decimal) + unit: (simple_name))) + (secondary_unit_declaration + name: (identifier) + (physical_literal + (integer_decimal) + unit: (simple_name))) + (secondary_unit_declaration + name: (identifier) + (physical_literal + (integer_decimal) + unit: (simple_name)))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/subprogram.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/subprogram.txt new file mode 100644 index 000000000..d5718d152 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/subprogram.txt @@ -0,0 +1,126 @@ +========================== +Procedure - Minimal +========================== +procedure p is +begin +end; + +procedure p is +begin +end procedure; + +procedure p is +begin +end procedure p; +--- + +(design_file + (procedure_body + designator: (identifier)) + (procedure_body + designator: (identifier)) + (procedure_body + designator: (identifier) + at_end: (simple_name))) + +========================== +Pure function (implicit) - Minimal +========================== +function f return t is +begin +end; + +function f return t is +begin +end function; + +function f return t is +begin +end function f; +--- + +(design_file + (function_body + designator: (identifier) + (return + (type_mark + (simple_name)))) + (function_body + designator: (identifier) + (return + (type_mark + (simple_name)))) + (function_body + designator: (identifier) + (return + (type_mark + (simple_name))) + at_end: (simple_name))) + +========================== +Pure function (explicit) - Minimal +========================== +pure function f return t is +begin +end; + +pure function f return t is +begin +end function; + +pure function f return t is +begin +end function f; +--- + +(design_file + (function_body + designator: (identifier) + (return + (type_mark + (simple_name)))) + (function_body + designator: (identifier) + (return + (type_mark + (simple_name)))) + (function_body + designator: (identifier) + (return + (type_mark + (simple_name))) + at_end: (simple_name))) + +========================== +Impure function - Minimal +========================== +impure function f return t is +begin +end; + +impure function f return t is +begin +end function; + +impure function f return t is +begin +end function f; +--- + +(design_file + (function_body + designator: (identifier) + (return + (type_mark + (simple_name)))) + (function_body + designator: (identifier) + (return + (type_mark + (simple_name)))) + (function_body + designator: (identifier) + (return + (type_mark + (simple_name))) + at_end: (simple_name))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/subtype_indication.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/subtype_indication.txt new file mode 100644 index 000000000..2d168c3cd --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/declarations/subtype_indication.txt @@ -0,0 +1,466 @@ +================================================================================ +Resolution function +================================================================================ +assert new resolved ut; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (resolution_function + (simple_name)) + (type_mark + (simple_name))))))) + +================================================================================ +Record resolution I +================================================================================ +assert new (elem resolved) ut; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (record_resolution + (record_element_resolution + element: (simple_name) + (resolution_function + (simple_name)))) + (type_mark + (simple_name))))))) + +================================================================================ +Record resolution II +================================================================================ +assert new (elem1 resolved, elem2 resolved) ut; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (record_resolution + (record_element_resolution + element: (simple_name) + (resolution_function + (simple_name))) + (record_element_resolution + element: (simple_name) + (resolution_function + (simple_name)))) + (type_mark + (simple_name))))))) + +================================================================================ +Parenthesized resolution - Resolution function +================================================================================ +assert new (resolved) ut; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (parenthesized_resolution + (resolution_function + (simple_name))) + (type_mark + (simple_name))))))) + +================================================================================ +Parenthesized record resolution +================================================================================ +assert new ((elem resolved)) ut; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (parenthesized_resolution + (record_resolution + (record_element_resolution + element: (simple_name) + (resolution_function + (simple_name))))) + (type_mark + (simple_name))))))) + +================================================================================ +Range constraint I +================================================================================ +assert new range_t range l to h; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (range_constraint + (ascending_range + low: (simple_expression + (simple_name)) + high: (simple_expression + (simple_name))))))))) + +================================================================================ +Range constraint II +================================================================================ +assert new range_t range st'range; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (range_constraint + (attribute_name + prefix: (simple_name) + designator: (predefined_designator)))))))) + +================================================================================ +Array constraint - Open +================================================================================ +assert new arr_t (open); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (array_constraint + (index_constraint + (open)))))))) + +================================================================================ +Array constraint - Discrete Range +================================================================================ +assert new arr_t (h downto l); +assert new arr_t (l to h); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (array_constraint + (index_constraint + (descending_range + high: (simple_expression + (simple_name)) + low: (simple_expression + (simple_name))))))))) + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (array_constraint + (index_constraint + (ascending_range + low: (simple_expression + (simple_name)) + high: (simple_expression + (simple_name)))))))))) + +================================================================================ +Record constraint - Array constraint I +================================================================================ +assert new rec_t (elem1 (open)); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (record_constraint + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (open)))))))))) + +================================================================================ +Record constraint - Array constraint II +================================================================================ +assert new rec_t (elem1 (h downto l), + elem2 (l to h)); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (record_constraint + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (descending_range + high: (simple_expression + (simple_name)) + low: (simple_expression + (simple_name)))))) + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (ascending_range + low: (simple_expression + (simple_name)) + high: (simple_expression + (simple_name)))))))))))) + +================================================================================ +Record constraint - Record constraint +================================================================================ +assert new rec_t (elem1 (elem2 (open))); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (record_constraint + (record_element_constraint + element: (simple_name) + (record_constraint + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (open)))))))))))) + +================================================================================ +Array element constraint - Array constraint +================================================================================ +assert new arr_t (open)(open); +assert new arr_t (open)(open)(open); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (array_constraint + (index_constraint + (open)) + (array_element_constraint + (index_constraint + (open)))))))) + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (array_constraint + (index_constraint + (open)) + (array_element_constraint + (index_constraint + (open)) + (array_element_constraint + (index_constraint + (open)))))))))) + +================================================================================ +Array element constraint - Record constraint +================================================================================ +assert new arr_t (open)(elem (open)); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (array_constraint + (index_constraint + (open)) + (array_element_constraint + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (open))))))))))) + +================================================================================ +Record element constraint - Array constraint +================================================================================ +assert new arr_t (elem (open)); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (record_constraint + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (open)))))))))) + +================================================================================ +Record element constraint - Record constraint +================================================================================ +assert new arr_t (elem (elem (open))); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (record_constraint + (record_element_constraint + element: (simple_name) + (record_constraint + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (open)))))))))))) + +================================================================================ +Array subtype indication +================================================================================ +assert new resolved ut(h downto l); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (resolution_function + (simple_name)) + (type_mark + (simple_name)) + (array_constraint + (index_constraint + (descending_range + high: (simple_expression + (simple_name)) + low: (simple_expression + (simple_name)))))))))) + +================================================================================ +Record subtype indication +================================================================================ +assert new (elem1 resolved, elem2 resolved) ut (elem1 (h downto l), + elem2 (l to h)); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (record_resolution + (record_element_resolution + element: (simple_name) + (resolution_function + (simple_name))) + (record_element_resolution + element: (simple_name) + (resolution_function + (simple_name)))) + (type_mark + (simple_name)) + (record_constraint + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (descending_range + high: (simple_expression + (simple_name)) + low: (simple_expression + (simple_name)))))) + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (ascending_range + low: (simple_expression + (simple_name)) + high: (simple_expression + (simple_name)))))))))))) + +================================================================================ +Array of records subtype indication +================================================================================ +assert new ((elem1 resolved)) ut(h downto l)(elem1 (h downto 0)); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (parenthesized_resolution + (record_resolution + (record_element_resolution + element: (simple_name) + (resolution_function + (simple_name))))) + (type_mark + (simple_name)) + (array_constraint + (index_constraint + (descending_range + high: (simple_expression + (simple_name)) + low: (simple_expression + (simple_name)))) + (array_element_constraint + (record_element_constraint + element: (simple_name) + (array_constraint + (index_constraint + (descending_range + high: (simple_expression + (simple_name)) + low: (simple_expression + (integer_decimal))))))))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/allocators.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/allocators.txt new file mode 100644 index 000000000..a1f78484c --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/allocators.txt @@ -0,0 +1,116 @@ +================================================================================ +Minimal [LRM] +================================================================================ +assert new Node; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name))))))) + +================================================================================ +Qualified expression I [LRM] +================================================================================ +assert new Node'(Delay => 5 ns, + \Next\ => Stack); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (qualified_expression + (type_mark + (simple_name)) + (aggregate + (named_element_association + (choices + (simple_expression + (simple_name))) + (expression + (physical_literal + (integer_decimal) + unit: (simple_name)))) + (named_element_association + (choices + (simple_expression + (extended_simple_name))) + (expression + (simple_name))))))))) + +================================================================================ +Qualified expression II [LRM] +================================================================================ +assert new CELL'(0, null, null); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (qualified_expression + (type_mark + (simple_name)) + (aggregate + (positional_element_association + (expression + (integer_decimal))) + (positional_element_association + (expression + (null))) + (positional_element_association + (expression + (null))))))))) + +================================================================================ +Subtype indication LRM - I +================================================================================ +assert new String; +assert new String (1 to 10); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (array_constraint + (index_constraint + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))))))))) + +================================================================================ +Subtype indication - LRM II +================================================================================ +assert new bit_vector (1 to index); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (allocator + (subtype_indication + (type_mark + (simple_name)) + (array_constraint + (index_constraint + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (simple_name)))))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/expressions.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/expressions.txt new file mode 100644 index 000000000..4e9db02c5 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/expressions.txt @@ -0,0 +1,273 @@ +=================================== +Factor +=================================== +t <= abs a, + not b; +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (factor + (simple_name)))) + (waveform_element + (expression + (factor + (simple_name))))))) + +=================================== +Factor - exponentiation +=================================== +t <= a**b; +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (exponentiation + (simple_name) + (simple_name))))))) + +=================================== +Factor - logical reduction operation +=================================== +t <= and a, + or a, + nand a, + nor a, + xor a, + xnor a; +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (reduction + (simple_name)))) + (waveform_element + (expression + (reduction + (simple_name)))) + (waveform_element + (expression + (reduction + (simple_name)))) + (waveform_element + (expression + (reduction + (simple_name)))) + (waveform_element + (expression + (reduction + (simple_name)))) + (waveform_element + (expression + (reduction + (simple_name))))))) + +=================================== +Associative logical expression +=================================== +t <= a and b and c; +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (logical_expression + (simple_name) + (simple_name) + (simple_name))))))) + +=================================== +Term I +=================================== +t <= a * b, + a / b, + a mod b, + a rem b; +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (term + (simple_name) + (simple_name)))) + (waveform_element + (expression + (term + (simple_name) + (simple_name)))) + (waveform_element + (expression + (term + (simple_name) + (simple_name)))) + (waveform_element + (expression + (term + (simple_name) + (simple_name))))))) + +=================================== +Term II +=================================== +t <= a * b / c mod d rem e; +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (term + (simple_name) + (simple_name) + (simple_name) + (simple_name) + (simple_name))))))) + +=================================== +Simple expression +=================================== +t <= a + b - c; +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_expression + (simple_name) + (simple_name) + (simple_name))))))) + +=================================== +Simple expression - Sign +=================================== +t <= -a, -b; +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (sign + (simple_name)))) + (waveform_element + (expression + (sign + (simple_name))))))) + +=================================== +Sign +=================================== +t <= - a * b, + - abs a, + - abs b, + - a ** b; +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (sign + (term + (simple_name) + (simple_name))))) + (waveform_element + (expression + (sign + (factor + (simple_name))))) + (waveform_element + (expression + (sign + (factor + (simple_name))))) + (waveform_element + (expression + (sign + (exponentiation + (simple_name) + (simple_name)))))))) + +=================================== +Parenthesized expression I +=================================== +t <= (x); +t <= ((x)); +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (parenthesized_expression + (simple_name)))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (parenthesized_expression + (parenthesized_expression + (simple_name)))))))) + +=================================== +Parenthesized expression II +=================================== +t <= (x(foo'range)); +t <= ((x(foo'range))); +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (parenthesized_expression + (slice_name + prefix: (simple_name) + (attribute_name + prefix: (simple_name) + designator: (predefined_designator)))))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (parenthesized_expression + (parenthesized_expression + (slice_name + prefix: (simple_name) + (attribute_name + prefix: (simple_name) + designator: (predefined_designator)))))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/lrm.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/lrm.txt new file mode 100644 index 000000000..c3953e8f6 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/lrm.txt @@ -0,0 +1,86 @@ +================================================================================ +VHDL LRM 9.1 NOTE 2 +================================================================================ +assert (and A) and B; +assert A and (and B); +assert and (and A); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (logical_expression + (parenthesized_expression + (reduction + (simple_name))) + (simple_name)))) + (assertion_statement + (conditional_expression + (logical_expression + (simple_name) + (parenthesized_expression + (reduction + (simple_name)))))) + (assertion_statement + (conditional_expression + (reduction + (parenthesized_expression + (reduction + (simple_name))))))) + +================================================================================ +VHDL LRM 9.2.7 NOTE +================================================================================ +assert -5 rem 2 >= 0; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (relation + (sign + (term + (integer_decimal) + (integer_decimal))) + (integer_decimal))))) + +================================================================================ +VHDL LRM 9.2.6 NOTE +================================================================================ +assert A/(+B) > 0; +assert A**(-B) > 0; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (relation + (term + (simple_name) + (parenthesized_expression + (sign + (simple_name)))) + (integer_decimal)))) + (assertion_statement + (conditional_expression + (relation + (exponentiation + (simple_name) + (parenthesized_expression + (sign + (simple_name)))) + (integer_decimal))))) + +================================================================================ +ADA LRM 4.5.0 +================================================================================ +assert not Sunny or Warm; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (logical_expression + (factor + (simple_name)) + (simple_name))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/precedence.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/precedence.txt new file mode 100644 index 000000000..6bcf59166 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/precedence.txt @@ -0,0 +1,264 @@ +================================================================================ +Factor + Term +================================================================================ +t <= abs a * not b; +t <= abs a * not b * not c; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (term + (factor + (simple_name)) + (factor + (simple_name))))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (term + (factor + (simple_name)) + (factor + (simple_name)) + (factor + (simple_name)))))))) + +================================================================================ +Factor + Simple Expression +================================================================================ +t <= abs a + not b; +t <= abs a + not b + not c; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_expression + (factor + (simple_name)) + (factor + (simple_name))))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_expression + (factor + (simple_name)) + (factor + (simple_name)) + (factor + (simple_name)))))))) + +================================================================================ +Factor + Shift Expression +================================================================================ +t <= not a sll abs b; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (shift_expression + (factor + (simple_name)) + (factor + (simple_name)))))))) + +================================================================================ +Exponentiation + Term +================================================================================ +t <= a**1 * b**2; +t <= a**1 * b**2 * c**3; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (term + (exponentiation + (simple_name) + (integer_decimal)) + (exponentiation + (simple_name) + (integer_decimal))))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (term + (exponentiation + (simple_name) + (integer_decimal)) + (exponentiation + (simple_name) + (integer_decimal)) + (exponentiation + (simple_name) + (integer_decimal)))))))) + +================================================================================ +Exponentiation + Simple Expression +================================================================================ +t <= a**1 + b**2; +t <= a**1 + b**2 + c**3; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_expression + (exponentiation + (simple_name) + (integer_decimal)) + (exponentiation + (simple_name) + (integer_decimal))))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_expression + (exponentiation + (simple_name) + (integer_decimal)) + (exponentiation + (simple_name) + (integer_decimal)) + (exponentiation + (simple_name) + (integer_decimal)))))))) + +================================================================================ +Exponentiation + Shift Expression +================================================================================ +t <= a**1 sll b**2; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (shift_expression + (exponentiation + (simple_name) + (integer_decimal)) + (exponentiation + (simple_name) + (integer_decimal)))))))) + +================================================================================ +Exponentiation + Factor + Term +================================================================================ +t <= abs lhs * a**1; +t <= a**1 * not a; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (term + (factor + (simple_name)) + (exponentiation + (simple_name) + (integer_decimal))))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (term + (exponentiation + (simple_name) + (integer_decimal)) + (factor + (simple_name)))))))) + +================================================================================ +Exponentiation + Factor + Simple Expression +================================================================================ +t <= abs lhs + a**1; +t <= a**1 + not a; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_expression + (factor + (simple_name)) + (exponentiation + (simple_name) + (integer_decimal))))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (simple_expression + (exponentiation + (simple_name) + (integer_decimal)) + (factor + (simple_name)))))))) + +================================================================================ +Exponentiation + Factor + Simple Expression +================================================================================ +t <= abs lhs sll a**1; +t <= a**1 sll abs a; +-------------------------------------------------------------------------------- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (shift_expression + (factor + (simple_name)) + (exponentiation + (simple_name) + (integer_decimal))))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (shift_expression + (exponentiation + (simple_name) + (integer_decimal)) + (factor + (simple_name)))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/qualified_expression.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/qualified_expression.txt new file mode 100644 index 000000000..f5875799d --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/qualified_expression.txt @@ -0,0 +1,54 @@ +========================================================== +Parenthesized expression +========================================================== +assert foo'(a); +--- + +(design_file + (assertion_statement + (conditional_expression + (qualified_expression + (type_mark + (simple_name)) + (expression + (simple_name)))))) + +========================================================== +Aggregate - Positional asssociation +========================================================== +assert foo'(a,b); +--- + +(design_file + (assertion_statement + (conditional_expression + (qualified_expression + (type_mark + (simple_name)) + (aggregate + (positional_element_association + (expression + (simple_name))) + (positional_element_association + (expression + (simple_name)))))))) + +========================================================== +Aggregate - Named asssociation +========================================================== +assert foo'(a=>b); +--- + +(design_file + (assertion_statement + (conditional_expression + (qualified_expression + (type_mark + (simple_name)) + (aggregate + (named_element_association + (choices + (simple_expression + (simple_name))) + (expression + (simple_name)))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/string_expression.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/string_expression.txt new file mode 100644 index 000000000..72bb4855c --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/expressions/string_expression.txt @@ -0,0 +1,57 @@ +========================= +Attribute name +========================= +report integer'image(a); +--- + +(design_file + (report_statement + (string_expression + (attribute_name + prefix: (simple_name) + designator: (predefined_designator) + (expression + (simple_name)))))) + +========================= +Function +========================= +report to_string(a); +--- + +(design_file + (report_statement + (string_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))))) + +========================= +Concatenation +========================= +report "a" & "b"; +--- + +(design_file + (report_statement + (string_expression + (concatenation + (string_literal) + (string_literal))))) + +========================= +Concatenation II +========================= +report "a" & "b" & "c"; +--- + +(design_file + (report_statement + (string_expression + (concatenation + (string_literal) + (string_literal) + (string_literal))))) + diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/function_parameters_clause.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/function_parameters_clause.txt new file mode 100644 index 000000000..548776aaa --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/function_parameters_clause.txt @@ -0,0 +1,357 @@ +============================================================================ +Constant interface declarations +============================================================================ +function f (constant k : t; + constant k : in i; + k : t; + k : in t) return t; +--- + +(design_file + (function_declaration + (identifier) + (function_parameter_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))) + (return + (type_mark + (simple_name))))) + +============================================================================ +Signal interface declarations +============================================================================ +function f (signal s1 : t; + signal s2 : in t) return t; +--- + +(design_file + (function_declaration + (identifier) + (function_parameter_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))) + (return + (type_mark + (simple_name))))) + +============================================================================ +File interface declarations +============================================================================ +function f (file f : t) return t; +--- + +(design_file + (function_declaration + (identifier) + (function_parameter_clause + (file_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))))) + (return + (type_mark + (simple_name))))) + +============================================================================ +LINT: Illegal constant interface declarations +============================================================================ +function f (constant k : out t; + constant k : inout t; + constant k : buffer t; + constant k : linkage t) return t; +--- + +(design_file + (function_declaration + (identifier) + (function_parameter_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))) + (return + (type_mark + (simple_name))))) + +============================================================================ +LINT: Illegal signal interface declarations +============================================================================ +function f (signal s : t := x; + signal s : in t := x; + signal s : out t; + signal s : inout t; + signal s : buffer t; + signal s : linkage t) return t; +--- + +(design_file + (function_declaration + (identifier) + (function_parameter_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))) + (return + (type_mark + (simple_name))))) + +============================================================================ +LINT: Illegal variable interface declarations +============================================================================ +function f (variable v : in t; + variable v : out t; + variable v : inout t; + variable v : buffer t; + variable v : linkage t) return t; +--- + +(design_file + (function_declaration + (identifier) + (function_parameter_clause + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))) + (return + (type_mark + (simple_name))))) + +============================================================================ +LINT: Illegal file interface declarations +============================================================================ +function f (file f : in t; + file f : out t; + file f : inout t; + file f : buffer t; + file f : linkage t) return t; +--- + +(design_file + (function_declaration + (identifier) + (function_parameter_clause + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))) + (return + (type_mark + (simple_name))))) + +============================================================================ +LINT: Illegal type interface declarations +============================================================================ +function f (type t) return t; +--- + +(design_file + (function_declaration + (identifier) + (function_parameter_clause + (type_interface_declaration + (identifier))) + (return + (type_mark + (simple_name))))) + +============================================================================ +LINT: Illegal subprogram interface declarations +============================================================================ +function f (procedure p) return t; +--- + +(design_file + (function_declaration + (identifier) + (function_parameter_clause + (procedure_interface_declaration + (identifier))) + (return + (type_mark + (simple_name))))) + +============================================================================ +LINT: Illegal package interface declarations +============================================================================ +function f (package pkg is new pkg generic map (<>)) return t; +--- + +(design_file + (function_declaration + (identifier) + (function_parameter_clause + (package_interface_declaration + (identifier) + (simple_name) + (package_map_aspect + (generic_map_aspect + (any))))) + (return + (type_mark + (simple_name))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/generic_clause.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/generic_clause.txt new file mode 100644 index 000000000..5b3a78a52 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/generic_clause.txt @@ -0,0 +1,410 @@ +============================================================================ +Entity declaration +============================================================================ +entity e is + generic (k : t); +end entity e; +--- + +(design_file + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name)))) + +============================================================================ +Block statement +============================================================================ +B1: +block + generic (k : t); +begin +end block B1; +--- + +(design_file + (block_statement + (label + (identifier)) + (block_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name))) + +============================================================================ +Component declaration +============================================================================ +component c + generic (s : t); +end component c; +--- + +(design_file + (component_declaration + name: (identifier) + (component_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name))) + +============================================================================ +Package declaration +============================================================================ +package pkg is + generic (k : t) +end package pkg; +--- + +(design_file + (package_declaration + name: (identifier) + (package_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))))) + at_end: (simple_name))) + +============================================================================ +Subprogram specification +============================================================================ +procedure p + generic (k:t); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (subprogram_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))))))) + +============================================================================ +Legal interface declarations +============================================================================ +entity e is + generic (constant k : t; + constant k : in i; + k : t; + k : in t); +end entity e; + +entity e is + generic (type t); +end entity e; + +entity e is + generic (procedure p); +end entity e; + +entity e is + generic (package pkg is new upkg generic map (<>)); +end entity e; +--- + +(design_file + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name))) + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (generic_clause + (type_interface_declaration + name: (identifier)) + (semicolon))) + at_end: (simple_name))) + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (generic_clause + (procedure_interface_declaration + designator: (identifier)) + (semicolon))) + at_end: (simple_name))) + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (generic_clause + (package_interface_declaration + name: (identifier) + uninstantiated: (simple_name) + (package_map_aspect + (generic_map_aspect + (any)))) + (semicolon))) + at_end: (simple_name)))) + +============================================================================ +LINT: Illegal interface declarations +============================================================================ +entity e is + generic (constant k : out t; + constant k : inout t; + constant k : buffer t; + constant k : linkage t); +end entity e; + +entity e is + generic (signal s : t; + signal s : in t; + signal s : out t; + signal s : inout t; + signal s : buffer t; + signal s : linkage t); +end entity e; + +entity e is + generic (variable v : t; + variable v : in t; + variable v : out t; + variable v : inout t; + variable v : buffer t; + variable v : linkage t); +end entity e; + +entity e is + generic (file v : in t; + file v : out t; + file v : inout t; + file v : buffer t; + file v : linkage t); +end entity e; +--- + +(design_file + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (generic_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name))) + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (generic_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name))) + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (generic_clause + (variable_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name))) + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (generic_clause + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/package_interface_declaration.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/package_interface_declaration.txt new file mode 100644 index 000000000..657ff8c00 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/package_interface_declaration.txt @@ -0,0 +1,31 @@ +============================================================================ +Package interface generic map aspect +============================================================================ +entity e is + generic ( + package p1 is new up generic map (default); + package p2 is new up generic map (<>) + ); +end entity e; +--- + +(design_file + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (generic_clause + (package_interface_declaration + name: (identifier) + uninstantiated: (simple_name) + (package_map_aspect + (generic_map_aspect + (default)))) + (package_interface_declaration + name: (identifier) + uninstantiated: (simple_name) + (package_map_aspect + (generic_map_aspect + (any)))) + (semicolon))) + at_end: (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/port_clause.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/port_clause.txt new file mode 100644 index 000000000..2a89027d4 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/port_clause.txt @@ -0,0 +1,379 @@ +============================================================================ +Entity declaration +============================================================================ +entity e is + port (s : t); +end entity e; +--- + +(design_file + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name)))) + +============================================================================ +Block statements +============================================================================ +B1: +block + port (s : t); +begin +end block B1; +--- + +(design_file + (block_statement + (label + (identifier)) + (block_header + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name))) + +============================================================================ +Component declaration +============================================================================ +component c + port (s : t); +end component c; +--- + +(design_file + (component_declaration + name: (identifier) + (component_header + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name))) + +============================================================================ +Legal interface declarations +============================================================================ +entity e is + port (signal s : in t; + signal s : out t; + signal s : inout t; + signal s : buffer t; + signal s : linkage t; + s : in t; + s : out t; + s : inout t; + s : buffer t; + s : linkage t; + s : t); +end entity e; +--- + +(design_file + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (port_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name)))) + +============================================================================ +LINT: Illegal interface declarations +============================================================================ +entity e is + port (constant k : in t; + constant k : out t; + constant k : inout t; + constant k : buffer t; + constant k : linkage t; + constant k : t); +end entity e; + +entity e is + port (variable v : in t; + variable v : out t; + variable v : inout t; + variable v : buffer t; + variable v : linkage t; + variable v : t); +end entity e; + +entity e is + port (file v : in t; + file v : out t; + file v : inout t; + file v : buffer t; + file v : linkage t; + file v : t); +end entity e; + +entity e is + port (procedure p); +end entity e; + +entity e is + port (package pkg is new upkg generic map (<>)); +end entity e; +--- + +(design_file + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (port_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name))) + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (port_clause + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name))) + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (port_clause + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (semicolon))) + at_end: (simple_name))) + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (port_clause + (procedure_interface_declaration + designator: (identifier)) + (semicolon))) + at_end: (simple_name))) + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (port_clause + (package_interface_declaration + name: (identifier) + uninstantiated: (simple_name) + (package_map_aspect + (generic_map_aspect + (any)))) + (semicolon))) + at_end: (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/procedure_parameters_clause.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/procedure_parameters_clause.txt new file mode 100644 index 000000000..f52d74f60 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/procedure_parameters_clause.txt @@ -0,0 +1,395 @@ +============================================================================ +Constant interface declarations +============================================================================ +procedure f (constant k : t; + constant k : in i; + k : t; + k : in t); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))))) + +============================================================================ +Signal interface declarations +============================================================================ +procedure f (signal s : t; + signal s : in t; + signal s : out t; + signal s : inout t); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))))) + +============================================================================ +Variable interface declarations +============================================================================ +procedure f (variable v : in t := x; + variable k : out t; + variable k : inout t; + k : out t; + k : inout t); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))))) + +============================================================================ +File interface declarations +============================================================================ +procedure f (file f : t); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (file_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))))))) + +============================================================================ +LINT: Illegal constant interface declarations +============================================================================ +procedure f (constant k : out t; + constant k : inout t; + constant k : buffer t; + constant k : linkage t); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (constant_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))))) + +============================================================================ +LINT: Illegal signal interface declarations +============================================================================ +procedure f (signal s : t := x; + signal s : in t := x; + signal s : out t := x; + signal s : inout t := x; + signal s : buffer t; + signal s : linkage t); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (signal_interface_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (signal_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))))) + +============================================================================ +LINT: Illegal variable interface declarations +============================================================================ +procedure f (variable k : out t := x; + variable k : inout t := x; + variable k : buffer t; + variable k : linkage t); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))) + (default_expression + (simple_name))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (variable_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))))) + +============================================================================ +LINT: Illegal file interface declarations +============================================================================ +procedure f (file v : in t; + file v : out t; + file v : inout t; + file v : buffer t; + file v : linkage t); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name)))) + (file_interface_declaration + (identifier_list + (identifier)) + (mode) + (subtype_indication + (type_mark + (simple_name))))))) + +============================================================================ +LINT: Illegal type interface declarations +============================================================================ +procedure f (type t); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (type_interface_declaration + name: (identifier))))) + +============================================================================ +LINT: Illegal subprogram interface declarations +============================================================================ +procedure f (procedure p); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (procedure_interface_declaration + designator: (identifier))))) + +============================================================================ +LINT: Illegal package interface declarations +============================================================================ +procedure f (package pkg is new pkg generic map (<>)); +--- + +(design_file + (procedure_declaration + designator: (identifier) + (procedure_parameter_clause + (package_interface_declaration + name: (identifier) + uninstantiated: (simple_name) + (package_map_aspect + (generic_map_aspect + (any))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/subprogram_interface_declaration.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/subprogram_interface_declaration.txt new file mode 100644 index 000000000..566a355e8 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/interface_lists/subprogram_interface_declaration.txt @@ -0,0 +1,33 @@ +============================================================================ +Interface subprogram default +============================================================================ +entity e is + generic (impure function f1 return t is <>; + pure function f2 return t is foo.bar); +end; +--- + +(design_file + (design_unit + (entity_declaration + name: (identifier) + (entity_header + (generic_clause + (function_interface_declaration + designator: (identifier) + (return + (type_mark + (simple_name))) + (interface_subprogram_default + (same))) + (function_interface_declaration + designator: (identifier) + (return + (type_mark + (simple_name))) + (interface_subprogram_default + (selected_name + prefix: (simple_name) + suffix: (simple_name)))) + (semicolon)))))) + diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/aggregates.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/aggregates.txt new file mode 100644 index 000000000..9b8dbc3db --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/aggregates.txt @@ -0,0 +1,295 @@ +============================================ +Positional association +============================================ +assert (a,b); +assert (a,b,c); +--- + +(design_file + (assertion_statement + (conditional_expression + (aggregate + (positional_element_association + (expression + (simple_name))) + (positional_element_association + (expression + (simple_name)))))) + (assertion_statement + (conditional_expression + (aggregate + (positional_element_association + (expression + (simple_name))) + (positional_element_association + (expression + (simple_name))) + (positional_element_association + (expression + (simple_name))))))) + +============================================ +Named association +============================================ +assert (a=>b,c=>d,e=>f); +--- + +(design_file + (assertion_statement + (conditional_expression + (aggregate + (named_element_association + (choices + (simple_expression + (simple_name))) + (expression + (simple_name))) + (named_element_association + (choices + (simple_expression + (simple_name))) + (expression + (simple_name))) + (named_element_association + (choices + (simple_expression + (simple_name))) + (expression + (simple_name))))))) + +============================================ +Choices +============================================ +assert (a|b|c=>d); +--- + +(design_file + (assertion_statement + (conditional_expression + (aggregate + (named_element_association + (choices + (simple_expression + (simple_name)) + (simple_expression + (simple_name)) + (simple_expression + (simple_name))) + (expression + (simple_name))))))) + +============================================ +Others +============================================ +assert (others=>a); +assert (a,b=>c,others=>d); +--- + +(design_file + (assertion_statement + (conditional_expression + (aggregate + (named_element_association + (choices + (others)) + (expression + (simple_name)))))) + (assertion_statement + (conditional_expression + (aggregate + (positional_element_association + (expression + (simple_name))) + (named_element_association + (choices + (simple_expression + (simple_name))) + (expression + (simple_name))) + (named_element_association + (choices + (others)) + (expression + (simple_name))))))) + +============================================ +Mixed element associations +============================================ +assert (a,b=>c); +assert (a,b,c=>d,e=>f); +assert (a,b,c|d=>e,f|g=>h); +--- + +(design_file + (assertion_statement + (conditional_expression + (aggregate + (positional_element_association + (expression + (simple_name))) + (named_element_association + (choices + (simple_expression + (simple_name))) + (expression + (simple_name)))))) + (assertion_statement + (conditional_expression + (aggregate + (positional_element_association + (expression + (simple_name))) + (positional_element_association + (expression + (simple_name))) + (named_element_association + (choices + (simple_expression + (simple_name))) + (expression + (simple_name))) + (named_element_association + (choices + (simple_expression + (simple_name))) + (expression + (simple_name)))))) + (assertion_statement + (conditional_expression + (aggregate + (positional_element_association + (expression + (simple_name))) + (positional_element_association + (expression + (simple_name))) + (named_element_association + (choices + (simple_expression + (simple_name)) + (simple_expression + (simple_name))) + (expression + (simple_name))) + (named_element_association + (choices + (simple_expression + (simple_name)) + (simple_expression + (simple_name))) + (expression + (simple_name))))))) + +============================================ +Aggregate name on choice +============================================ +assert (a'high=>b); +--- + +(design_file + (assertion_statement + (conditional_expression + (aggregate + (named_element_association + (choices + (simple_expression + (attribute_name + prefix: (simple_name) + designator: (predefined_designator)))) + (expression + (simple_name))))))) + +============================================ +Discrete range I +============================================ +assert (h downto l=>a); +assert (l to h=>a); +--- + +(design_file + (assertion_statement + (conditional_expression + (aggregate + (named_element_association + (choices + (descending_range + high: (simple_expression + (simple_name)) + low: (simple_expression + (simple_name)))) + (expression + (simple_name)))))) + (assertion_statement + (conditional_expression + (aggregate + (named_element_association + (choices + (ascending_range + low: (simple_expression + (simple_name)) + high: (simple_expression + (simple_name)))) + (expression + (simple_name))))))) + +============================================ +Discrete range II +============================================ +assert (t'range=>a); +assert (t'reverse_range=>a); +--- + +(design_file + (assertion_statement + (conditional_expression + (aggregate + (named_element_association + (choices + (attribute_name + prefix: (simple_name) + designator: (predefined_designator))) + (expression + (simple_name)))))) + (assertion_statement + (conditional_expression + (aggregate + (named_element_association + (choices + (attribute_name + prefix: (simple_name) + designator: (predefined_designator))) + (expression + (simple_name))))))) + +============================================ +Discrete range III +============================================ +assert (t'range(1)=>a); +assert (t'reverse_range(1)=>a); +--- + +(design_file + (assertion_statement + (conditional_expression + (aggregate + (named_element_association + (choices + (attribute_name + prefix: (simple_name) + designator: (predefined_designator) + (expression + (integer_decimal)))) + (expression + (simple_name)))))) + (assertion_statement + (conditional_expression + (aggregate + (named_element_association + (choices + (attribute_name + prefix: (simple_name) + designator: (predefined_designator) + (expression + (integer_decimal)))) + (expression + (simple_name))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/bit_string_literals.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/bit_string_literals.txt new file mode 100644 index 000000000..f1fa15724 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/bit_string_literals.txt @@ -0,0 +1,145 @@ +============================================ +Minimal +============================================ +assert b""; +assert o""; +assert x""; + +assert ub""; +assert uo""; +assert ux""; + +assert sb""; +assert so""; +assert sx""; +--- + +(design_file + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal)))) + +============================================ +Length +============================================ +assert 4b"0"; +assert 8ub"0"; +assert 16sb"0"; + +assert 04o"0"; +assert 08uo"0"; +assert 016so"0"; + +assert 004x"0"; +assert 008ux"0"; +assert 0016sx"0"; +--- + +(design_file + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal)))) + +============================================ +Bit value +============================================ +assert b"01"; +assert ub"01"; +assert sb"01"; + +assert o"0123_4567"; +assert uo"0123_4567"; +assert so"0123_4567"; + +assert x"0123_4567"; +assert ux"0123_4567_89AB_CDEF"; +assert sx"0123_4567_89AB_CDEF"; +--- + +(design_file + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal))) + (assertion_statement + (conditional_expression + (bit_string_literal)))) + +============================================ +std_match +============================================ +assert b"--"; +--- + +(design_file + (assertion_statement + (conditional_expression + (bit_string_literal)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/comments.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/comments.txt new file mode 100644 index 000000000..a7689f6a7 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/comments.txt @@ -0,0 +1,59 @@ +=========================== +Minimal +=========================== +-- +--- + +(design_file + (comment)) + +=========================== +Multi line - Minimal +=========================== +/**/ +--- + +(design_file + (comment)) + +=========================== +Multi line - Single line +=========================== +/**/ +/***/ +/****/ +/***/ +/**/ +--- + +(design_file + (comment) + (comment) + (comment) + (comment) + (comment)) + +=========================== +Multi line - Multiple lines +=========================== +/* + + + + + +*/ +--- + +(design_file + (comment)) + +=========================== +Multi line - Banner +=========================== +/******************************** +*********************************/ +--- + +(design_file + (comment)) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/null_literal.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/null_literal.txt new file mode 100644 index 000000000..541c0ac8f --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/null_literal.txt @@ -0,0 +1,10 @@ +============================================ +Null literal +============================================ +assert null; +--- + +(design_file + (assertion_statement + (conditional_expression + (null)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/numeric_literals.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/numeric_literals.txt new file mode 100644 index 000000000..6e63234f2 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/numeric_literals.txt @@ -0,0 +1,366 @@ +====================================================================== +Integer decimal literals +====================================================================== +assert 0; +assert 0000; + +assert 1; +assert 1234; + +assert 00_00; +assert 12_34; +--- + +(design_file + (assertion_statement + (conditional_expression + (integer_decimal))) + (assertion_statement + (conditional_expression + (integer_decimal))) + (assertion_statement + (conditional_expression + (integer_decimal))) + (assertion_statement + (conditional_expression + (integer_decimal))) + (assertion_statement + (conditional_expression + (integer_decimal))) + (assertion_statement + (conditional_expression + (integer_decimal)))) + +====================================================================== +Integer decimal literals - Exponent +====================================================================== +assert 0e0; +assert 0000e0; + +assert 1e1; +assert 1234e1234; + +assert 00_00e0; +assert 12_34e+1; +assert 12_34e+1234; +--- + +(design_file + (assertion_statement + (conditional_expression + (integer_decimal))) + (assertion_statement + (conditional_expression + (integer_decimal))) + (assertion_statement + (conditional_expression + (integer_decimal))) + (assertion_statement + (conditional_expression + (integer_decimal))) + (assertion_statement + (conditional_expression + (integer_decimal))) + (assertion_statement + (conditional_expression + (integer_decimal))) + (assertion_statement + (conditional_expression + (integer_decimal)))) + +====================================================================== +Real decimal literals +====================================================================== +assert 0.0; +assert 00.0; + +assert 1.0; +assert 12.34; + +assert 00_00.0000; +assert 12_34.5678; + +assert 00_00.00_00; +assert 12_34.56_78; +--- + +(design_file + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal)))) + +====================================================================== +Real decimal literals - Negative exponent +====================================================================== +assert 1.0e-1; +assert 12.34e-1234; + +assert 12_34.5678e-1; +assert 12_34.56_78e-1245; + +assert 12_34.56_78e-1; +assert 12_34.56_78e-1245; +--- + +(design_file + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal))) + (assertion_statement + (conditional_expression + (real_decimal)))) + +====================================================================== +Integer based literals - Base I +====================================================================== +assert 2#0#; +assert 8#0#; +assert 010#0#; +assert 016#0#; +--- + +(design_file + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer)))) + +====================================================================== +Integer based literals - Based integer I +====================================================================== +assert 2#1#; +assert 3#2#; +assert 4#3#; +assert 5#4#; +assert 6#5#; +assert 7#6#; +assert 8#7#; +assert 9#8#; +assert 10#9#; +assert 11#A#; +assert 12#B#; +assert 13#C#; +assert 14#D#; +assert 15#E#; +assert 16#F#; +--- + +(design_file + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer)))) + +====================================================================== +Integer based literals - Exponent +====================================================================== +assert 16#e1#e1; +assert 16#e1#e+1; +assert 16#e1#e-1; +--- + +(design_file + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer))) + (assertion_statement + (conditional_expression + (based_integer)))) + +====================================================================== +Real based literals +====================================================================== +assert 2#0.0#; +assert 16#0.0#; + +assert 16#01234567.89abcdef#; +assert 16#01234567.89ABCDEF#; +--- + +(design_file + (assertion_statement + (conditional_expression + (based_real))) + (assertion_statement + (conditional_expression + (based_real))) + (assertion_statement + (conditional_expression + (based_real))) + (assertion_statement + (conditional_expression + (based_real)))) + +====================================================================== +Real based literals - Positive exponent +====================================================================== +assert 16#E1.E1#E1; +assert 16#E1.E1#E+1; +assert 16#E1.E1#E-1; +--- + +(design_file + (assertion_statement + (conditional_expression + (based_real))) + (assertion_statement + (conditional_expression + (based_real))) + (assertion_statement + (conditional_expression + (based_real)))) + +====================================================================== +Physical literals I +====================================================================== +assert 100 ns; +assert 16#E1#E1 MIN; +--- + +(design_file + (assertion_statement + (conditional_expression + (physical_literal + (integer_decimal) + (simple_name)))) + (assertion_statement + (conditional_expression + (physical_literal + (based_integer) + (simple_name))))) + +====================================================================== +Physical literals II +====================================================================== +assert 100ns; +assert 16#E1#E1MIN; +--- + +(design_file + (assertion_statement + (conditional_expression + (physical_literal + (integer_decimal) + unit: (simple_name)))) + (assertion_statement + (conditional_expression + (physical_literal + (based_integer) + unit: (simple_name))))) + +====================================================================== +Physical literals - Integer coefficient +====================================================================== +assert 1 E1; +assert 2e+2 E1; + +assert 16#E1# E1; +assert 16#E1#E1 E1; +--- + +(design_file + (assertion_statement + (conditional_expression + (physical_literal + (integer_decimal) + unit: (simple_name)))) + (assertion_statement + (conditional_expression + (physical_literal + (integer_decimal) + unit: (simple_name)))) + (assertion_statement + (conditional_expression + (physical_literal + (based_integer) + unit: (simple_name)))) + (assertion_statement + (conditional_expression + (physical_literal + (based_integer) + unit: (simple_name))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/predefined_enumeration_literals.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/predefined_enumeration_literals.txt new file mode 100644 index 000000000..a2fab8b0a --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/predefined_enumeration_literals.txt @@ -0,0 +1,332 @@ +============================================================== +Character literal - White spaces (SPACE and NBSP) +============================================================== +report ' ' & ' '; +--- + +(design_file + (report_statement + (string_expression + (concatenation + (character_literal) + (character_literal))))) + +============================================================== +Character literal - Apostrophe +============================================================== +report ''' & '''; +--- + +(design_file + (report_statement + (string_expression + (concatenation + (character_literal) + (character_literal))))) + +============================================================== +Character literal - Quotation mark +============================================================== +report '"' & '"'; +--- + +(design_file + (report_statement + (string_expression + (concatenation + (character_literal) + (character_literal))))) + +============================================================== +Character literal - Backtick +============================================================== +report '`'; +--- + +(design_file + (report_statement + (string_expression + (character_literal)))) + +============================================================== +Character literal - Solidus and backslash +============================================================== +report '/' & '\'; +--- + +(design_file + (report_statement + (string_expression + (concatenation + (character_literal) + (character_literal))))) + +============================================================== +Character literal - Number signal +============================================================== +report '#' & 'e'; +--- + +(design_file + (report_statement + (string_expression + (concatenation + (character_literal) + (character_literal))))) + +============================================================== +Character literal - STD_LOGIC values (upper case) +============================================================== +report '1' & '0' & 'U' & 'X' & 'W' & 'L' & 'H' & '-'; +--- + +(design_file + (report_statement + (string_expression + (concatenation + (character_literal) + (character_literal) + (character_literal) + (character_literal) + (character_literal) + (character_literal) + (character_literal) + (character_literal))))) + + +============================================================== +Character literal - STD_LOGIC values (lower case) +============================================================== +report '1' & '0' & 'u' & 'x' & 'w' & 'l' & 'h' & '-'; +--- + +(design_file + (report_statement + (string_expression + (concatenation + (character_literal) + (character_literal) + (character_literal) + (character_literal) + (character_literal) + (character_literal) + (character_literal) + (character_literal))))) + +============================================================== +Special characters +============================================================== +report '"'; +report '!'; +report '$'; +report '%'; +report '\'; +report '^'; +report '{'; +report '}'; +report '''; +report '~'; + +report '¡'; +report '¢'; +report '£'; +report '¤'; +report '¥'; +report '¦'; +report '§'; +report '¨'; +report '©'; +report 'ª'; +report '«'; +report '¬'; +report '®'; +report '¯'; +report '°'; +report '±'; +report '²'; +report '³'; +report '´'; +report 'µ'; +report '¶'; +report '·'; +report '¸'; +report '¹'; +report 'º'; +report '»'; +report '¼'; +report '½'; +report '¾'; +report '¿'; +report '×'; +report '÷'; +report '-'; +--- + +(design_file + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal))) + (report_statement + (string_expression + (character_literal)))) + +============================================================== +Character literal - ASCII mnemonics (simple_names) +============================================================== +report nul; +report ack & nak; +report ack & nak; +report esc & esc; +report ht & vt & cr & lf & ff; +--- + +(design_file + (report_statement + (string_expression + (simple_name))) + (report_statement + (string_expression + (concatenation + (simple_name) + (simple_name)))) + (report_statement + (string_expression + (concatenation + (simple_name) + (simple_name)))) + (report_statement + (string_expression + (concatenation + (simple_name) + (simple_name)))) + (report_statement + (string_expression + (concatenation + (simple_name) + (simple_name) + (simple_name) + (simple_name) + (simple_name))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/string_literals.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/string_literals.txt new file mode 100644 index 000000000..bee17cdc1 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/lexical_elements/string_literals.txt @@ -0,0 +1,207 @@ +============================================ +Minimal +============================================ +report ""; +--- + +(design_file + (report_statement + (string_expression + (string_literal)))) + +============================================ +White space (SPACE) +============================================ +report " "; +--- + +(design_file + (report_statement + (string_expression + (string_literal)))) + +============================================ +White space (NBSP) +============================================ +report " "; +--- + +(design_file + (report_statement + (string_expression + (string_literal)))) + +============================================================== +Apostrophe +============================================================== +report "'"; +--- + +(design_file + (report_statement + (string_expression + (string_literal)))) + +============================================================== +Quotation mark (escape) +============================================================== +report """"; +--- + +(design_file + (report_statement + (string_expression + (string_literal)))) + +============================================================== +Underscore +============================================================== +report "_"; +--- + +(design_file + (report_statement + (string_expression + (string_literal)))) + +============================================================== +Backslash +============================================================== +report "\"; +--- + +(design_file + (report_statement + (string_expression + (string_literal)))) + +============================================================== +Comment +============================================================== +report "--"; +--- + +(design_file + (report_statement + (string_expression + (string_literal)))) + +============================================================== +Multi line comment +============================================================== +report "/*aa*/"; +--- + +(design_file + (report_statement + (string_expression + (string_literal)))) + +============================================================== +Zeros and ones +============================================================== +report "010"; +--- + +(design_file + (report_statement + (string_expression + (string_literal)))) + +============================================================== +Operators symbols +============================================================== +report "and"; +report ">="; +report "sll"; +report "+"; +report "abs"; +report "**"; +--- + +(design_file + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal)))) + +============================================================== +Regex Tokens +============================================================== +report "^"; +report "$"; +report "."; +report "|"; +report "\"; +report "*"; +report "?"; +report "+"; +report "["; +report "]"; +report "("; +report ")"; +report "{"; +report "}"; +report "#"; +--- + +(design_file + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal))) + (report_statement + (string_expression + (string_literal)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/names/ambiguos_name.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/names/ambiguos_name.txt new file mode 100644 index 000000000..2081eccab --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/names/ambiguos_name.txt @@ -0,0 +1,160 @@ +============================================================== +Ambiguity I +============================================================== +-- possible interpretations: +-- (function_call +-- (function_name "foo") +-- (_name "bar")) +-- +-- (type_conversion +-- (type_name "foo") +-- (_name "bar")) +-- +-- (indexed_name +-- (array_name "foo") +-- (_name "bar")) +-- +-- (slice_name +-- (array_name "foo") +-- (subtype_name "bar")) + +assert foo(bar); +--- + +(design_file + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))))) + +============================================================== +Ambiguity II +============================================================== +-- Possible interpretations: +-- (function_call +-- (function_name "foo") +-- (_name "bar") +-- (_name "baz")) +-- +-- (indexed_name +-- (array_name "foo") +-- (_name "bar") +-- (_name "baz")) + +assert foo(bar, baz); +--- + +(design_file + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)) + (expression + (simple_name))))))) + +============================================================== +Ambiguity III +============================================================== +-- Possible interpretations: +-- (slice_name +-- (function_call ...) +-- (subtype_name "bar")) +-- +-- (indexed_name +-- (function_call ...) +-- (object_name "bar")) + +assert foobar(foo=>bar)(bar); +--- + +(design_file + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (comment) + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (function_call + function: (simple_name) + (association_list + (named_association_element + formal_part: (simple_name) + actual_part: (expression + (simple_name))))) + (expression_list + (expression + (simple_name))))))) + +============================================================== +Ambiguous name on ambiguous name +============================================================== +assert foo(bar(x)); +--- + +(design_file + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)))))))))) + +============================================================== +PSL Built-ins functions names (not reserved words) +============================================================== +t <= prev(x); +--- + +(design_file + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/names/attribute_name.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/names/attribute_name.txt new file mode 100644 index 000000000..1300aa9f2 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/names/attribute_name.txt @@ -0,0 +1,52 @@ +============================= +Attribute of attribute +============================= +assert t'base'left; +--- + +(design_file + (assertion_statement + (conditional_expression + (attribute_name + prefix: (attribute_name + prefix: (simple_name) + designator: (predefined_designator)) + designator: (predefined_designator))))) + +============================= +External name +============================= +assert << constant a : foo >>'subtype; +--- + +(design_file + (assertion_statement + (conditional_expression + (attribute_name + prefix: (external_constant_name + (relative_pathname + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))) + designator: (predefined_designator))))) + +============================= +Function call +============================= +assert foo(a => b)'high; +--- + +(design_file + (assertion_statement + (conditional_expression + (attribute_name + prefix: (function_call + function: (simple_name) + (association_list + (named_association_element + formal_part: (simple_name) + actual_part: (expression + (simple_name))))) + designator: (predefined_designator))))) + diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/names/external_name.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/names/external_name.txt new file mode 100644 index 000000000..592a6b151 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/names/external_name.txt @@ -0,0 +1,292 @@ +================================================================================ +Object classes +================================================================================ +assert << constant obj : bar_t >>; +assert << signal obj : bar_t >>; +assert << variable obj : bar_t >>; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (external_constant_name + (relative_pathname + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_signal_name + (relative_pathname + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_variable_name + (relative_pathname + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name))))))) + +================================================================================ +Package pathname +================================================================================ +assert << constant @lib.pkg.obj : foo_t >>; +assert << signal @lib.pkg.pkg.pkg.obj : foo_t >>; +assert << variable @lib.pkg.pkg.obj : foo_t >>; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (external_constant_name + (package_pathname + library: (simple_name) + package: (simple_name) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_signal_name + (package_pathname + library: (simple_name) + package: (simple_name) + package: (simple_name) + package: (simple_name) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_variable_name + (package_pathname + library: (simple_name) + package: (simple_name) + package: (simple_name) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name))))))) + +================================================================================ +Absolute pathname I +================================================================================ +assert << constant .obj : foo_t >>; +assert << signal .foo.bar.obj : foo_t >>; +assert << variable .foo.obj : foo_t >>; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (external_constant_name + (absolute_pathname + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_signal_name + (absolute_pathname + (pathname_element + name_or_label: (simple_name)) + (pathname_element + name_or_label: (simple_name)) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_variable_name + (absolute_pathname + (pathname_element + name_or_label: (simple_name)) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name))))))) + +================================================================================ +Absolute pathname II +================================================================================ +assert << constant .foo(0).obj : bar >>; +assert << signal .foo(0).bar(0).obj : bar >>; +assert << variable .foo.bar(0).obj : bar >>; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (external_constant_name + (absolute_pathname + (pathname_element + (generate_statement_element + label: (simple_name) + specification: (expression + (integer_decimal)))) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_signal_name + (absolute_pathname + (pathname_element + (generate_statement_element + label: (simple_name) + specification: (expression + (integer_decimal)))) + (pathname_element + (generate_statement_element + label: (simple_name) + specification: (expression + (integer_decimal)))) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_variable_name + (absolute_pathname + (pathname_element + name_or_label: (simple_name)) + (pathname_element + (generate_statement_element + label: (simple_name) + specification: (expression + (integer_decimal)))) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name))))))) + +================================================================================ +Relative pathname I +================================================================================ +assert << constant obj : foo_t >>; +assert << signal foo.bar.obj : foo_t >>; +assert << variable foo.obj : foo_t >>; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (external_constant_name + (relative_pathname + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_signal_name + (relative_pathname + (pathname_element + name_or_label: (simple_name)) + (pathname_element + name_or_label: (simple_name)) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_variable_name + (relative_pathname + (pathname_element + name_or_label: (simple_name)) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name))))))) + +================================================================================ +Relative pathname II +================================================================================ +assert << constant obj : foo_t >>; +assert << signal ^.^.obj : foo_t >>; +assert << variable ^.obj : foo_t >>; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (external_constant_name + (relative_pathname + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_signal_name + (relative_pathname + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_variable_name + (relative_pathname + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name))))))) + +================================================================================ +Relative pathname III +================================================================================ +assert << constant bar.obj : foo_t >>; +assert << signal ^.^.foobar.foo.bar.obj : foo_t >>; +assert << variable ^.foo.bar.obj : foo_t >>; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (external_constant_name + (relative_pathname + (pathname_element + name_or_label: (simple_name)) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_signal_name + (relative_pathname + (pathname_element + name_or_label: (simple_name)) + (pathname_element + name_or_label: (simple_name)) + (pathname_element + name_or_label: (simple_name)) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name)))))) + (assertion_statement + (conditional_expression + (external_variable_name + (relative_pathname + (pathname_element + name_or_label: (simple_name)) + (pathname_element + name_or_label: (simple_name)) + object: (simple_name)) + (subtype_indication + (type_mark + (simple_name))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/names/function_call.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/names/function_call.txt new file mode 100644 index 000000000..4be31a4f9 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/names/function_call.txt @@ -0,0 +1,247 @@ +=================================== +Ambiguous name I +=================================== +assert fun (obj); +--- + +(design_file + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))))) + +=================================== +Ambiguous name II +=================================== +assert fun (bar, baz); +--- + +(design_file + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)) + (expression + (simple_name))))))) + +=================================== +Predefine enumeration literal on actual I +=================================== +assert fun ('+'); +assert fun ("str"); +--- + +(design_file + (assertion_statement + (conditional_expression + (function_call + function: (simple_name) + (association_list + (positional_association_element + actual_part: (character_literal)))))) + (assertion_statement + (conditional_expression + (function_call + function: (simple_name) + (association_list + (positional_association_element + actual_part: (string_literal))))))) + +=================================== +Predefine enumeration literal on actual II +=================================== +assert fun (amb, '+'); +assert fun (amb, "str"); +--- + +(design_file + (assertion_statement + (conditional_expression + (function_call + function: (simple_name) + (association_list + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (character_literal)))))) + (assertion_statement + (conditional_expression + (function_call + function: (simple_name) + (association_list + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (string_literal))))))) + +=================================== +Named association element I +=================================== +assert fun (foo => bar); +--- + +(design_file + (assertion_statement + (conditional_expression + (function_call + function: (simple_name) + (association_list + (named_association_element + formal_part: (simple_name) + actual_part: (expression + (simple_name)))))))) + +=================================== +Named association element II +=================================== +assert fun (foo.bar => baz); +--- + +(design_file + (assertion_statement + (conditional_expression + (function_call + function: (simple_name) + (association_list + (named_association_element + formal_part: (selected_name + prefix: (simple_name) + suffix: (simple_name)) + actual_part: (expression + (simple_name)))))))) + +=================================== +Mixed association list +=================================== +assert fun (a, b => c); +--- + +(design_file + (assertion_statement + (conditional_expression + (function_call + function: (simple_name) + (association_list + (positional_association_element + actual_part: (expression + (simple_name))) + (named_association_element + formal_part: (simple_name) + actual_part: (expression + (simple_name)))))))) + +=================================== +Open I +=================================== +assert fun (open); +--- + +(design_file + (assertion_statement + (conditional_expression + (function_call + function: (simple_name) + (association_list + (positional_association_element + actual_part: (open))))))) + +=================================== +Open II +=================================== +assert fun (bar => open); +--- + +(design_file + (assertion_statement + (conditional_expression + (function_call + function: (simple_name) + (association_list + (named_association_element + formal_part: (simple_name) + actual_part: (open))))))) + +=================================== +Open III +=================================== +assert fun (fun (open)); +--- + +(design_file + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (function_call + function: (simple_name) + (association_list + (positional_association_element + actual_part: (open)))))))))) + +=================================== +Prefix - Operator symbol +=================================== +assert "and" (foo, bar); +--- + +(design_file + (assertion_statement + (conditional_expression + (function_call + function: (operator_symbol) + (association_list + (positional_association_element + actual_part: (expression + (simple_name))) + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +=================================== +Prefix - Attribute name +=================================== +assert foo'bar (baz); +--- + +(design_file + (assertion_statement + (conditional_expression + (function_call + function: (attribute_name + prefix: (simple_name) + designator: (simple_name)) + (association_list + (positional_association_element + actual_part: (expression + (simple_name)))))))) + +=================================== +Actual part I (Type conversion) +=================================== +assert fun (t(param) => obj); +--- + +(design_file + (assertion_statement + (conditional_expression + (function_call + function: (simple_name) + (association_list + (named_association_element + formal_part: (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)))) + actual_part: (expression + (simple_name)))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/names/slice_names.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/names/slice_names.txt new file mode 100644 index 000000000..937977612 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/names/slice_names.txt @@ -0,0 +1,117 @@ +================================================================================ +Ambiguous name I +================================================================================ +assert arr (st); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))))) + +================================================================================ +Descending range +================================================================================ +assert arr (h downto l); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (slice_name + prefix: (simple_name) + (descending_range + high: (simple_expression + (simple_name)) + low: (simple_expression + (simple_name))))))) + +================================================================================ +Ascending range +================================================================================ +assert arr (h to l); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (slice_name + prefix: (simple_name) + (ascending_range + low: (simple_expression + (simple_name)) + high: (simple_expression + (simple_name))))))) + +================================================================================ +Attribute range +================================================================================ +assert arr (st'range); +assert arr (st'reverse_range); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (slice_name + prefix: (simple_name) + (attribute_name + prefix: (simple_name) + designator: (predefined_designator))))) + (assertion_statement + (conditional_expression + (slice_name + prefix: (simple_name) + (attribute_name + prefix: (simple_name) + designator: (predefined_designator)))))) + +================================================================================ +Prefix +================================================================================ +assert amb (amb)(h downto l); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (slice_name + prefix: (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)))) + (descending_range + high: (simple_expression + (simple_name)) + low: (simple_expression + (simple_name))))))) + +================================================================================ +Prefix - Selected name +================================================================================ +assert MAC_CONFIG(i).TypeSwitch(0 to SWITCH_COUNT - 1); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (slice_name + prefix: (selected_name + prefix: (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)))) + suffix: (simple_name)) + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (simple_expression + (simple_name) + (integer_decimal)))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/primary_unit/primary_unit.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/primary_unit/primary_unit.txt new file mode 100644 index 000000000..3249ba6c4 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/primary_unit/primary_unit.txt @@ -0,0 +1,71 @@ +================================================================================ +Minimal +================================================================================ +library ieee; + +entity e is +end entity; +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (context_clause + (library_clause + (logical_name_list + (simple_name)))) + (entity_declaration + (identifier)))) + +================================================================================ +Logical name list +================================================================================ +library work, ieee; + +entity e is +end entity; +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (context_clause + (library_clause + (logical_name_list + (simple_name) + (simple_name)))) + (entity_declaration + (identifier)))) + +================================================================================ +Context declaration - LRM +================================================================================ +context project_context is + library project_lib; + use project_lib.project_defs.all; + library IP_lib; + context IP_lib.IP_context; +end context project_context; +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (context_declaration + name: (identifier) + (context_clause + (library_clause + (logical_name_list + library: (simple_name))) + (use_clause + (selected_name + prefix: (selected_name + prefix: (simple_name) + suffix: (simple_name)) + suffix: (all))) + (library_clause + (logical_name_list + library: (simple_name))) + (context_reference + (context_list + (selected_name + prefix: (simple_name) + suffix: (simple_name))))) + at_end: (simple_name)))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/declaration.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/declaration.txt new file mode 100644 index 000000000..ad7b05a24 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/declaration.txt @@ -0,0 +1,140 @@ +==================================== +Constant Parameter Specification I +==================================== +sequence s (const c) is {c}; +--- + +(design_file + (PSL_Sequence_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification) + (PSL_Identifier))) + (PSL_Braced_SERE + (PSL_Boolean + (simple_name))))) + +==================================== +Constant Parameter Specification II +==================================== +sequence s (boolean b) is {b}; +--- + +(design_file + (PSL_Sequence_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_Type_Class)) + (PSL_Identifier))) + (PSL_Braced_SERE + (PSL_Boolean + (simple_name))))) + +==================================== +Constant Parameter Specification III +==================================== +sequence s (const boolean b) is {b}; +--- + +(design_file + (PSL_Sequence_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_Type_Class)) + (PSL_Identifier))) + (PSL_Braced_SERE + (PSL_Boolean + (simple_name))))) + +==================================== +Constant Parameter Specification IV +==================================== +sequence s (hdltype t o) is {o}; +--- + +(design_file + (PSL_Sequence_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_HDL_Type + (subtype_indication + (type_mark + (simple_name))))) + (PSL_Identifier))) + (PSL_Braced_SERE + (PSL_Boolean + (simple_name))))) + +==================================== +Constant Parameter Specification V +==================================== +sequence s (const hdltype t o) is {o}; +--- + +(design_file + (PSL_Sequence_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_HDL_Type + (subtype_indication + (type_mark + (simple_name))))) + (PSL_Identifier))) + (PSL_Braced_SERE + (PSL_Boolean + (simple_name))))) + +==================================== +Temporal Parameter Specification I +==================================== +sequence s1 (sequence s2) is s2; +--- + +(design_file + (PSL_Sequence_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Temporal_Parameter_Specification) + (PSL_Identifier))) + (PSL_Sequence_Instance + (PSL_Identifier)))) + +==================================== +Formal parameter list +==================================== +property s1 (property p; sequence s; const k) is p(s,k); +--- + +(design_file + (PSL_Property_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Temporal_Parameter_Specification) + (PSL_Identifier)) + (PSL_Formal_Parameter + (PSL_Temporal_Parameter_Specification) + (PSL_Identifier)) + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification) + (PSL_Identifier))) + (PSL_Property_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))))))) + diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/lrm.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/lrm.txt new file mode 100644 index 000000000..95786e65a --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/lrm.txt @@ -0,0 +1,751 @@ +================================================================================ +PSL LRM 1.3.1 +================================================================================ +assert always {req; ack_n; not cancel} |=> (ena or enb); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (factor + (simple_name))))) + Property: (PSL_Boolean + (parenthesized_expression + (logical_expression + (simple_name) + (simple_name)))))))) + +================================================================================ +PSL LRM 1.3.2.1 Simulation (Property 1) +================================================================================ +assert always (req -> next not req); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (factor + (simple_name))))))))) + +================================================================================ +PSL LRM 1.3.2.1 Simulation (Property 2) +================================================================================ +assert always (a -> next[3] (b)); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Extended_Ocurrence_FL_Property + (PSL_Count + (PSL_Number + (integer_decimal))) + Property: (PSL_Boolean + (simple_name)))))))) + +================================================================================ +PSL LRM 1.3.2.1 Simulation (Property 3) +================================================================================ +assert always ((a and next[3] (b)) -> c); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Implication_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Logical_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Extended_Ocurrence_FL_Property + (PSL_Count + (PSL_Number + (integer_decimal))) + Property: (PSL_Boolean + (simple_name))))) + (PSL_Boolean + (simple_name))))))) + +================================================================================ +PSL LRM 6.2.3 Replicated properties I +================================================================================ +assert forall i in boolean: f(i); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Value_Set + (boolean))) + Property: (PSL_Property_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name)))))))) + +================================================================================ +PSL LRM 6.2.3 Replicated properties II +================================================================================ +assert forall i in {j to k} : f(i); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Value_Set + (ascending_range + low: (simple_expression + (simple_name)) + high: (simple_expression + (simple_name))))) + Property: (PSL_Property_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name)))))))) + +================================================================================ +PSL LRM 6.2.3 Replicated properties III +================================================================================ +assert forall i (0 to 1) in boolean : f(i); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Index_Range + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))) + (PSL_Value_Set + (boolean))) + Property: (PSL_Property_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name)))))))) + +================================================================================ +PSL LRM 6.2.3 Replicated properties IV +================================================================================ +assert forall i (0 to 2) in {4,5} : f(i); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Index_Range + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))) + (PSL_Value_Set + (PSL_Any_Type + (integer_decimal)) + (PSL_Any_Type + (integer_decimal)))) + Property: (PSL_Property_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name)))))))) + +================================================================================ +PSL LRM 6.2.3 Replicated properties V +================================================================================ +assert forall i(0 to 3) in boolean: + request and (data_in = i) -> next(data_out = i); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Index_Range + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))) + (PSL_Value_Set + (boolean))) + Property: (PSL_Expression + (PSL_Boolean + (logical_expression + (simple_name) + (parenthesized_expression + (relation + (simple_name) + (simple_name))))) + (PSL_Built_In_Function_Call + (PSL_Any_Type + (relation + (simple_name) + (simple_name)))))))) + +================================================================================ +PSL LRM 6.2.3 Replicated properties VI +================================================================================ +assert forall i in boolean: + forall j in {0 to 7}: + forall k in {0 to 3}: + f(i,j,k); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Value_Set + (boolean))) + Property: (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Value_Set + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal))))) + Property: (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Value_Set + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal))))) + Property: (PSL_Property_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name)))))))))) + +================================================================================ +PSL LRM 6.2.3 Replicated properties VII +================================================================================ +assert forall j in {0 to 7}: + forall k in {0 to j}: + f(j); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Value_Set + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal))))) + Property: (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Value_Set + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (simple_name))))) + Property: (PSL_Property_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))))))))) + +================================================================================ +PSL LRM 6.3.1 PSL formal parameter type classes +================================================================================ +sequence s (boolean b0, b1) is {b0 = b1}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Sequence_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_Type_Class)) + (PSL_Identifier) + (PSL_Identifier))) + (PSL_Braced_SERE + (PSL_Boolean + (relation + (simple_name) + (simple_name)))))) + +================================================================================ +PSL LRM 6.3.2 HDL formal parameter types I +================================================================================ +sequence color_is_red (hdltype COLOR c) is {c = RED}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Sequence_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_HDL_Type + (subtype_indication + (type_mark + (simple_name))))) + (PSL_Identifier))) + (PSL_Braced_SERE + (PSL_Boolean + (relation + (simple_name) + (simple_name)))))) + +================================================================================ +PSL LRM 6.3.2 HDL formal parameter types II +================================================================================ +sequence slope_is_1 (hdltype COORDINATE_RECORD c) is {(c.x / c.y) = 1}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Sequence_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_HDL_Type + (subtype_indication + (type_mark + (simple_name))))) + (PSL_Identifier))) + (PSL_Braced_SERE + (PSL_Boolean + (relation + (parenthesized_expression + (term + (selected_name + prefix: (simple_name) + suffix: (simple_name)) + (selected_name + prefix: (simple_name) + suffix: (simple_name)))) + (integer_decimal)))))) + +================================================================================ +PSL LRM 6.3.2.1 - Sequence declaration I +================================================================================ +sequence BusArb (boolean br, bg; const n) is + { br; (br and not bg)[*0 to n]; br and bg }; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Sequence_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_Type_Class)) + (PSL_Identifier) + (PSL_Identifier)) + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification) + (PSL_Identifier))) + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Boolean + (simple_name)) + (PSL_Repeated_SERE + (PSL_Boolean + (parenthesized_expression + (logical_expression + (simple_name) + (factor + (simple_name))))) + (PSL_Count + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (simple_name))))) + (PSL_Boolean + (logical_expression + (simple_name) + (simple_name))))))) + +================================================================================ +PSL LRM 6.3.2.1 - Sequence declaration II +================================================================================ +sequence ReadCycle (sequence ba; boolean bb, ar, dr) is + { ba; {bb[*]} && {ar[->]; dr[->]}; not bb }; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Sequence_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Temporal_Parameter_Specification) + (PSL_Identifier)) + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_Type_Class)) + (PSL_Identifier) + (PSL_Identifier) + (PSL_Identifier))) + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Boolean + (simple_name)) + (PSL_Compound_SERE + (PSL_Braced_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count))) + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count)) + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count))))) + (PSL_Boolean + (factor + (simple_name))))))) + +================================================================================ +PSL LRM 6.3.2.2 - Property declaration I +================================================================================ +property ResultAfterN + (boolean start; property result; const n; boolean stop) is + always ((start -> next[n] (result)) @ (rising_edge(clk)) async_abort stop); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Property_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_Type_Class)) + (PSL_Identifier)) + (PSL_Formal_Parameter + (PSL_Temporal_Parameter_Specification) + (PSL_Identifier)) + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification) + (PSL_Identifier)) + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_Type_Class)) + (PSL_Identifier))) + (PSL_Invariant_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Termination_FL_Property + (PSL_Clocked_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Extended_Ocurrence_FL_Property + (PSL_Count + (PSL_Number + (simple_name))) + Property: (PSL_Boolean + (simple_name))))) + (conditional_expression + (parenthesized_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))))) + (PSL_Boolean + (simple_name))))))) + +================================================================================ +PSL LRM 6.3.2.2 - Property declaration II +================================================================================ +property ResultAfterN + (boolean start, stop; property result; const n) is + always ((start -> next[n] (result)) @ (rising_edge(clk)) + async_abort stop); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Property_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_Type_Class)) + (PSL_Identifier) + (PSL_Identifier)) + (PSL_Formal_Parameter + (PSL_Temporal_Parameter_Specification) + (PSL_Identifier)) + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification) + (PSL_Identifier))) + (PSL_Invariant_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Termination_FL_Property + (PSL_Clocked_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Extended_Ocurrence_FL_Property + (PSL_Count + (PSL_Number + (simple_name))) + Property: (PSL_Boolean + (simple_name))))) + (conditional_expression + (parenthesized_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))))) + (PSL_Boolean + (simple_name))))))) + +================================================================================ +PSL LRM 6.3.3.1 - Sequence instantiation I +================================================================================ +restrict BusArb (breq, back, 3); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Sequence_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Any_Type + (integer_decimal))))))) + +================================================================================ +PSL LRM 6.3.3.1 - Sequence instantiation II +================================================================================ +restrict { breq; (breq and not back)[*0 to 3]; breq and back }; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Boolean + (simple_name)) + (PSL_Repeated_SERE + (PSL_Boolean + (parenthesized_expression + (logical_expression + (simple_name) + (factor + (simple_name))))) + (PSL_Count + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal))))) + (PSL_Boolean + (logical_expression + (simple_name) + (simple_name))))))) + +================================================================================ +PSL LRM 6.3.3.1 - Sequence instantiation III +================================================================================ +restrict ReadCycle(BusArb(breq, back, 5), breq, ardy, drdy); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Sequence_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)) + (expression + (simple_name)) + (expression + (integer_decimal)))))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))))))) + +================================================================================ +PSL LRM 6.3.3.1 - Sequence instantiation IV +================================================================================ +restrict { { breq; (breq and not back)[*0 to 5]; breq and back }; + {breq[*]} && {ardy[->]}; drdy[->]; not breq}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Boolean + (simple_name)) + (PSL_Repeated_SERE + (PSL_Boolean + (parenthesized_expression + (logical_expression + (simple_name) + (factor + (simple_name))))) + (PSL_Count + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal))))) + (PSL_Boolean + (logical_expression + (simple_name) + (simple_name))))) + (PSL_Compound_SERE + (PSL_Braced_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count))) + (PSL_Braced_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count)))) + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count)) + (PSL_Boolean + (factor + (simple_name))))))) + +================================================================================ +PSL LRM 6.3.3.2 - Property instantiation I +================================================================================ +assert ResultAfterN (write_req, eventually! ack, 3, cancel); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Ambiguous_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (simple_name)))) + (PSL_Actual_Parameter + (PSL_Any_Type + (integer_decimal))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))))))) + +================================================================================ +PSL LRM 6.3.3.2 - Property instantiation II +================================================================================ +assert always ((write_req -> next[3] (eventually! ack)) + @ (rising_edge(clk)) async_abort cancel); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Termination_FL_Property + (PSL_Clocked_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Extended_Ocurrence_FL_Property + (PSL_Count + (PSL_Number + (integer_decimal))) + Property: (PSL_Ocurrence_FL_Property + (PSL_Boolean + (simple_name)))))) + (conditional_expression + (parenthesized_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))))) + (PSL_Boolean + (simple_name))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/property.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/property.txt new file mode 100644 index 000000000..9ac4b5a6e --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/property.txt @@ -0,0 +1,575 @@ +================================================================================ +Property replicator +================================================================================ +assert forall p in boolean : p; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Value_Set + (boolean))) + Property: (PSL_Property_Instance + (PSL_Identifier))))) + +================================================================================ +Property replicator - Index Range I +================================================================================ +assert forall p (0 to 1) in boolean : p; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Index_Range + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))) + (PSL_Value_Set + (boolean))) + Property: (PSL_Property_Instance + (PSL_Identifier))))) + +================================================================================ +Replicator - Index Range II +================================================================================ +assert forall p (1 downto 0) in boolean : p; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Index_Range + (descending_range + high: (simple_expression + (integer_decimal)) + low: (simple_expression + (integer_decimal)))) + (PSL_Value_Set + (boolean))) + Property: (PSL_Property_Instance + (PSL_Identifier))))) + +================================================================================ +Replicator - Index Range - VHDL Range III +================================================================================ +assert forall p (foo'range) in boolean : p; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Index_Range + (attribute_name + prefix: (simple_name) + designator: (predefined_designator))) + (PSL_Value_Set + (boolean))) + Property: (PSL_Property_Instance + (PSL_Identifier))))) + +================================================================================ +Replicator - Value Set I +================================================================================ +assert forall p in {0 to 1} : p; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Value_Set + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal))))) + Property: (PSL_Property_Instance + (PSL_Identifier))))) + +================================================================================ +Replicator - Value Set II +================================================================================ +assert forall p in {0 to 1, 0 to 1} : p; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Value_Set + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal))) + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal))))) + Property: (PSL_Property_Instance + (PSL_Identifier))))) + +================================================================================ +Replicator - Value Set - Value +================================================================================ +assert forall p in {val} : p; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Property_Replicator + (PSL_Parameter_Specification + (PSL_Identifier) + (PSL_Value_Set + (PSL_Any_Type + (simple_name)))) + Property: (PSL_Property_Instance + (PSL_Identifier))))) + +================================================================================ +Clock property +================================================================================ +assert a @ clk; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Clocked_FL_Property + (PSL_Boolean + (simple_name)) + (conditional_expression + (simple_name))))) + +================================================================================ +Termination property +================================================================================ +assert a abort b; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Termination_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name))))) + +================================================================================ +Logical expression (binary) property +================================================================================ +assert (a->b) and b; +assert a or (a->b); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Logical_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Logical_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Parenthesized_FL_Property + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name))))))) + +================================================================================ +Implication property +================================================================================ +assert (a->b) -> b; +assert a <-> (a->b); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Implication_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Parenthesized_FL_Property + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name))))))) + +================================================================================ +Logical expression (unary) property +================================================================================ +assert not (a->b); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Factor_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name))))))) + +================================================================================ +Logical expression (combined) property +================================================================================ +assert not (a->b) and b; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Logical_FL_Property + (PSL_Factor_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name))))) + (PSL_Boolean + (simple_name))))) + +================================================================================ +Invariance property +================================================================================ +assert always p; +assert never p; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Boolean + (simple_name))))) + +================================================================================ +Ocurrence property +================================================================================ +assert next p; +assert next! p; +assert eventually! p; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (simple_name))))) + +================================================================================ +Bounding property +================================================================================ +assert p until! p; +assert p until p; +assert p until!_ p; +assert p until_ p; + +assert p before! p; +assert p before p; +assert p before!_ p; +assert p before p; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Bounding_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Bounding_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Bounding_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Bounding_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Bounding_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Bounding_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Bounding_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Bounding_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name))))) + +================================================================================ +Extended ocurrence property I +================================================================================ +assert next (p); +assert next! (p); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Built_In_Function_Call + (PSL_Any_Type + (simple_name)))) + (PSL_Assert_Directive + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (parenthesized_expression + (simple_name)))))) + +================================================================================ +Extended ocurrence property II +================================================================================ +assert next [1] (p); +assert next! [2] (p); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Extended_Ocurrence_FL_Property + (PSL_Count + (PSL_Number + (integer_decimal))) + Property: (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Extended_Ocurrence_FL_Property + (PSL_Count + (PSL_Number + (integer_decimal))) + Property: (PSL_Boolean + (simple_name))))) + +================================================================================ +Extended ocurrence (all) property I +================================================================================ +assert next_a [0 to 1] (p); +assert next_a! [0 to 1] (p); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Extended_Ocurrence_FL_Property + (PSL_Count + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))) + Property: (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Extended_Ocurrence_FL_Property + (PSL_Count + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))) + Property: (PSL_Boolean + (simple_name))))) + +================================================================================ +Extended ocurrence event property I +================================================================================ +assert next_event (b) (p); +assert next_event! (b) (p); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Extended_Ocurrence_FL_Property + Boolean: (PSL_Boolean + (simple_name)) + Property: (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Extended_Ocurrence_FL_Property + Boolean: (PSL_Boolean + (simple_name)) + Property: (PSL_Boolean + (simple_name))))) + +================================================================================ +Extended ocurrence event property II +================================================================================ +assert next_event (b) [1] (p); +assert next_event! (b) [1] (p); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Extended_Ocurrence_FL_Property + Boolean: (PSL_Boolean + (simple_name)) + (PSL_Count + (PSL_Number + (integer_decimal))) + Property: (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Extended_Ocurrence_FL_Property + Boolean: (PSL_Boolean + (simple_name)) + (PSL_Count + (PSL_Number + (integer_decimal))) + Property: (PSL_Boolean + (simple_name))))) + +================================================================================ +Sequence Instantiation I (Ambiguos) +================================================================================ +assert p; +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (simple_name)))) + +================================================================================ +Property Instantiation II (Ambiguos) +================================================================================ +assert p(a); +-------------------------------------------------------------------------------- + +(design_file + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))))) + +================================================================================ +Property Instantiation III +================================================================================ +assert p (a -> b, a -> b); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Ambiguous_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Actual_Parameter + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))))))) + +================================================================================ +Property Instantiation IV +================================================================================ +assert ResultAfterN (eventually! ack); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Ambiguous_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (simple_name)))))))) + +================================================================================ +Clocked property +================================================================================ +assert (c and next! (a until! b)@clk1)@clk2 ; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Clocked_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Logical_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Ocurrence_FL_Property + (PSL_Clocked_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Bounding_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (conditional_expression + (simple_name)))))) + (conditional_expression + (simple_name))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/sequence.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/sequence.txt new file mode 100644 index 000000000..0707db6a7 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/sequence.txt @@ -0,0 +1,154 @@ +================================================================================ +Repeated sequence I +================================================================================ +restrict {a[*2]}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Braced_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count + (PSL_Number + (integer_decimal))))))) + +================================================================================ +Repeated sequence II +================================================================================ +restrict {a[+]}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Braced_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count))))) + +================================================================================ +Repeated sequence III +================================================================================ +restrict {a[*]}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Braced_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count))))) + +================================================================================ +Repeated sequence IV +================================================================================ +restrict {[*]}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Braced_SERE + (PSL_Repeated_SERE + (PSL_Count))))) + +================================================================================ +Repeated sequence V +================================================================================ +restrict {a[=2]}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Braced_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count + (PSL_Number + (integer_decimal))))))) + +================================================================================ +Repeated sequence VI +================================================================================ +restrict {a[*1 to 3]}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Braced_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal)))))))) + +================================================================================ +Sequence Instantiation - Minimal +================================================================================ +restrict s; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Sequence_Instance + (PSL_Identifier)))) + +================================================================================ +Sequence Instantiation - LRM I +================================================================================ +restrict BusArb(breq, back, 3); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Sequence_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Any_Type + (integer_decimal))))))) + +================================================================================ +Sequence Instantiation - LRM II +================================================================================ +restrict ReadCycle(BusArb(breq, back, 5), breq, ardy, drdy); +-------------------------------------------------------------------------------- + +(design_file + (PSL_Restrict_Directive + (PSL_Sequence_Instance + (PSL_Identifier) + (PSL_Actual_Parameter_List + (PSL_Actual_Parameter + (PSL_Any_Type + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)) + (expression + (simple_name)) + (expression + (integer_decimal)))))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))) + (PSL_Actual_Parameter + (PSL_Any_Type + (simple_name))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/suffix_implication.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/suffix_implication.txt new file mode 100644 index 000000000..226831622 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/suffix_implication.txt @@ -0,0 +1,356 @@ +================================================================================ +SERE_0_a +================================================================================ +assert always {a} |=> {b; b; b; b; c}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_1_a +================================================================================ +assert always {a} |=> {b[*4]; c}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count + (PSL_Number + (integer_decimal)))) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_2_a +================================================================================ +assert always {a} |=> {b[*3 to 5]; c}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal))))) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_3_a +================================================================================ +assert always {a} |=> {b[*]; c}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count)) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_4_a +================================================================================ +assert always {a} |=> {b[+]; c}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count)) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_5_a +================================================================================ +assert always {d} |=> {e[*]; f}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count)) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_6_a +================================================================================ +assert always {d} |=> {e[+]; f}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count)) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_7_a +================================================================================ +assert always {g} |=> {h[*3]; i}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count + (PSL_Number + (integer_decimal)))) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_8_a +================================================================================ +assert always {g} |=> {h[*2 to 4]; i}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (integer_decimal))))) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_9_a +================================================================================ +assert always {g} |=> {h[*]; i}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count)) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_10_a +================================================================================ +assert always {g} |=> {h[+]; i}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Boolean + (simple_name)) + (PSL_Count)) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_11_a +================================================================================ +assert always {g} |=> {[*6]; i}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Count + (PSL_Number + (integer_decimal)))) + (PSL_Boolean + (simple_name))))))))) + +================================================================================ +SERE_12_a +================================================================================ +assert always {g} |=> {[*6]; i; not i[*1 to inf]}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Count + (PSL_Number + (integer_decimal)))) + (PSL_Boolean + (simple_name)) + (PSL_Repeated_SERE + (PSL_Boolean + (factor + (simple_name))) + (PSL_Count + (ascending_range + low: (simple_expression + (integer_decimal)) + high: (simple_expression + (simple_name)))))))))))) + +================================================================================ +SERE_13_a +================================================================================ +assert always {g} |=> {{h; not h}[*3]; i}; +-------------------------------------------------------------------------------- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Suffix_Implication_FL_Property + Sequence: (PSL_Braced_SERE + (PSL_Boolean + (simple_name))) + Property: (PSL_Sequential_FL_Property + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Repeated_SERE + (PSL_Braced_SERE + (PSL_Simple_SERE + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (factor + (simple_name))))) + (PSL_Count + (PSL_Number + (integer_decimal)))) + (PSL_Boolean + (simple_name))))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/test.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/test.txt new file mode 100644 index 000000000..e8ed7c5d0 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/test.txt @@ -0,0 +1,267 @@ +================================ +Assertion Statement +================================ +assert a and b; +assert (a and b); +--- + +(design_file + (assertion_statement + (conditional_expression + (logical_expression + (simple_name) + (simple_name)))) + (assertion_statement + (conditional_expression + (parenthesized_expression + (logical_expression + (simple_name) + (simple_name)))))) + +================================ +Assert Directive - Implication +================================ +assert a -> b; +assert (a -> b); +--- + +(design_file + (PSL_Assert_Directive + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Parenthesized_FL_Property + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))))) + +================================ +Function call - Next +================================ +assert next(x); +assert foo(x); + +foo <= next(x); +--- + +(design_file + (PSL_Assert_Directive + (PSL_Built_In_Function_Call + (PSL_Any_Type + (simple_name)))) + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)))))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))))))) + +================================ +Function call - Sequence I +================================ +assert nondet({x}); +assert nondet({x,y}); +--- + +(design_file + (PSL_Assert_Directive + (PSL_Built_In_Function_Call + (PSL_Value_Set + (PSL_Any_Type + (simple_name))))) + (PSL_Assert_Directive + (PSL_Built_In_Function_Call + (PSL_Value_Set + (PSL_Any_Type + (simple_name)) + (PSL_Any_Type + (simple_name)))))) + +================================ +Function call - Sequence II +================================ +assert ended({x}); +--- + +(design_file + (PSL_Assert_Directive + (PSL_Built_In_Function_Call + (PSL_Braced_SERE + (PSL_Boolean + (simple_name)))))) + +================================ +Next conflict I +================================ +assert next (a); +assert next (a -> b); +assert next (x) (y); +--- + +(design_file + (PSL_Assert_Directive + (PSL_Built_In_Function_Call + (PSL_Any_Type + (simple_name)))) + (PSL_Assert_Directive + (PSL_Built_In_Function_Call + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name))))) + (PSL_Assert_Directive + (PSL_Extended_Ocurrence_FL_Property + Boolean: (PSL_Boolean + (simple_name)) + Property: (PSL_Boolean + (simple_name))))) + +================================ +Next not-conflict +================================ +assert next! (a); +assert next! (a -> b); +assert next! (x) (y); +--- + +(design_file + (PSL_Assert_Directive + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (parenthesized_expression + (simple_name))))) + (PSL_Assert_Directive + (PSL_Ocurrence_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))))) + (PSL_Assert_Directive + (PSL_Extended_Ocurrence_FL_Property + Boolean: (PSL_Boolean + (simple_name)) + Property: (PSL_Boolean + (simple_name))))) + +================================ +Next conflict II +================================ +assert next (a before b); +--- + +(design_file + (PSL_Assert_Directive + (PSL_Ocurrence_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Bounding_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name))))))) + +================================ +PSL Expression +================================ +assert c -> x; +assert c -> (x); +assert c -> next(x); +--- + +(design_file + (PSL_Assert_Directive + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))) + (PSL_Assert_Directive + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (parenthesized_expression + (simple_name))))) + (PSL_Assert_Directive + (PSL_Expression + (PSL_Boolean + (simple_name)) + (PSL_Built_In_Function_Call + (PSL_Any_Type + (simple_name)))))) + +================================ +Implication operator +================================ +assert c -> next x; +assert c -> (next x); +assert c -> (next (x)); +--- + +(design_file + (PSL_Assert_Directive + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (simple_name))))) + (PSL_Assert_Directive + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Parenthesized_FL_Property + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (simple_name)))))) + (PSL_Assert_Directive + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Parenthesized_FL_Property + (PSL_Built_In_Function_Call + (PSL_Any_Type + (simple_name))))))) + +================================ +Test +================================ +assert always (e -> (f or next (f before e))); +--- + +(design_file + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Parenthesized_FL_Property + (PSL_Logical_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Ocurrence_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Bounding_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Boolean + (simple_name)))))))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/vunit.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/vunit.txt new file mode 100644 index 000000000..9ea00ada8 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/psl/vunit.txt @@ -0,0 +1,435 @@ +================================================================================ +LRM 7.2.1 - I +================================================================================ +vunit ex1a(top_block.i1.i2) { + A1: assert never (ena and enb); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VUnit + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Hierarchical_HDL_Name + entity: (simple_name) + instance: (simple_name) + instance: (simple_name)) + (PSL_Assert_Directive + (label + (identifier)) + (PSL_Invariant_FL_Property + (PSL_Boolean + (parenthesized_expression + (logical_expression + (simple_name) + (simple_name)))))))))) + +================================================================================ +LRM 7.2.1 - II +================================================================================ +vunit ex2a(mod1) { + assert never (ena and enb); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VUnit + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Hierarchical_HDL_Name + entity: (simple_name)) + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Boolean + (parenthesized_expression + (logical_expression + (simple_name) + (simple_name)))))))))) + +================================================================================ +LRM 7.2.1 - III +================================================================================ +vunit ex2b(top_block.i1) { + assert never (i2.ena and i2.enb); + assert never (i3.ena and i3.enb); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VUnit + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Hierarchical_HDL_Name + entity: (simple_name) + instance: (simple_name)) + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Boolean + (parenthesized_expression + (logical_expression + (selected_name + prefix: (simple_name) + suffix: (simple_name)) + (selected_name + prefix: (simple_name) + suffix: (simple_name))))))) + (PSL_Assert_Directive + (PSL_Invariant_FL_Property + (PSL_Boolean + (parenthesized_expression + (logical_expression + (selected_name + prefix: (simple_name) + suffix: (simple_name)) + (selected_name + prefix: (simple_name) + suffix: (simple_name))))))))))) + +================================================================================ +LRM 7.2.1 - IV +================================================================================ +vunit ex3 { + A3: assert never (ena and enb); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VUnit + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Assert_Directive + (label + (identifier)) + (PSL_Invariant_FL_Property + (PSL_Boolean + (parenthesized_expression + (logical_expression + (simple_name) + (simple_name)))))))))) + +================================================================================ +LRM 7.2.1 - V +================================================================================ +vunit ex4 { + property mutex (boolean b1, b2) is never (b1 and b2); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VUnit + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Property_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_Type_Class)) + (PSL_Identifier) + (PSL_Identifier))) + (PSL_Invariant_FL_Property + (PSL_Boolean + (parenthesized_expression + (logical_expression + (simple_name) + (simple_name)))))))))) + +================================================================================ +LRM 7.2.2 - I +================================================================================ +vmode Common { + property mutex (boolean b1, b2) is never b1 and b2 ; + property one_hot (boolean b1, b2) is always ((b1 and b2) or (b2 and not b1)); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VMode + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Property_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_Type_Class)) + (PSL_Identifier) + (PSL_Identifier))) + (PSL_Invariant_FL_Property + (PSL_Boolean + (logical_expression + (simple_name) + (simple_name))))) + (PSL_Property_Declaration + (PSL_Identifier) + (PSL_Formal_Parameter_List + (PSL_Formal_Parameter + (PSL_Constant_Parameter_Specification + (PSL_Type_Class)) + (PSL_Identifier) + (PSL_Identifier))) + (PSL_Invariant_FL_Property + (PSL_Boolean + (parenthesized_expression + (logical_expression + (parenthesized_expression + (logical_expression + (simple_name) + (simple_name))) + (parenthesized_expression + (logical_expression + (simple_name) + (factor + (simple_name))))))))))))) + +================================================================================ +LRM 7.2.2 - II +================================================================================ +vmode Amode (blockA) { + inherit Common; + assume mutex(Aout1, Aout2); +} + +vmode Bmode (blockB) { + inherit Common; + assume one_hot(Bout1, Bout2); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VMode + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Hierarchical_HDL_Name + entity: (simple_name)) + (PSL_Inherit_Spec + (simple_name)) + (PSL_Assume_Directive + (PSL_Boolean + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)) + (expression + (simple_name))))))))) + (design_unit + (PSL_VMode + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Hierarchical_HDL_Name + entity: (simple_name)) + (PSL_Inherit_Spec + (simple_name)) + (PSL_Assume_Directive + (PSL_Boolean + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)) + (expression + (simple_name)))))))))) + +================================================================================ +LRM 7.2.2 - III +================================================================================ +vunit Aprops (blockA) { + inherit Common, Bmode; + assert mutex(Aout1, Aout2); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VUnit + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Hierarchical_HDL_Name + entity: (simple_name)) + (PSL_Inherit_Spec + (simple_name) + (simple_name)) + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)) + (expression + (simple_name)))))))))) + +================================================================================ +LRM 7.2.2 - IV +================================================================================ +vunit Bprops (blockB) { + inherit Common, Amode; + assert one_hot(Bout1, Bout2); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VUnit + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Hierarchical_HDL_Name + entity: (simple_name)) + (PSL_Inherit_Spec + (simple_name) + (simple_name)) + (assertion_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name)) + (expression + (simple_name)))))))))) + +================================================================================ +LRM 7.2.3 - I +================================================================================ +vunit ex5a(top_block.i1) { + signal reqa, temp : boolean; + + A5a: assert always (reqa -> next temp); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VUnit + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Hierarchical_HDL_Name + entity: (simple_name) + instance: (simple_name)) + (signal_declaration + (identifier_list + (identifier) + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (PSL_Assert_Directive + (label + (identifier)) + (PSL_Invariant_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (simple_name))))))))))) + +================================================================================ +LRM 7.2.3 - II +================================================================================ +vunit ex5b(top_block.i1) { + signal temp : boolean; + + temp <= ack1 or ack2; + A5b: assert always (reqa -> next temp); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VUnit + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Hierarchical_HDL_Name + entity: (simple_name) + instance: (simple_name)) + (signal_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (logical_expression + (simple_name) + (simple_name)))))) + (PSL_Assert_Directive + (label + (identifier)) + (PSL_Invariant_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Implication_FL_Property + (PSL_Boolean + (simple_name)) + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (simple_name))))))))))) + +================================================================================ +LRM 7.2.3 - III +================================================================================ +vunit ex5d(top_block.i1) { + signal reqa : boolean; + + reqa <= nondet((0,1)); + A5c: assert always ((reqa or reqb) -> next temp); +} +-------------------------------------------------------------------------------- + +(design_file + (design_unit + (PSL_VUnit + (PSL_Identifier) + (PSL_Verification_Unit_Body + (PSL_Hierarchical_HDL_Name + entity: (simple_name) + instance: (simple_name)) + (signal_declaration + (identifier_list + (identifier)) + (subtype_indication + (type_mark + (simple_name)))) + (simple_concurrent_signal_assignment + target: (simple_name) + (waveforms + (waveform_element + (expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (aggregate + (positional_element_association + (expression + (integer_decimal))) + (positional_element_association + (expression + (integer_decimal))))))))))) + (PSL_Assert_Directive + (label + (identifier)) + (PSL_Invariant_FL_Property + (PSL_Parenthesized_FL_Property + (PSL_Implication_FL_Property + (PSL_Boolean + (parenthesized_expression + (logical_expression + (simple_name) + (simple_name)))) + (PSL_Ocurrence_FL_Property + (PSL_Boolean + (simple_name))))))))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/case.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/case.txt new file mode 100644 index 000000000..11cdfb0af --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/case.txt @@ -0,0 +1,109 @@ +=========================== +Ordinary case statement +=========================== +case expr is +end case; + +L1: +case expr is +end case; + +L2: +case expr is +end case L2; +--- + +(design_file + (case_statement + (expression + (simple_name))) + (case_statement + (label + (identifier)) + (expression + (simple_name))) + (case_statement + (label + (identifier)) + (expression + (simple_name)) + at_end: (simple_name))) + +=========================== +Matching case statement +=========================== +case? expr is +end case?; + +L1: +case? expr is +end case?; + +L2: +case? expr is +end case? L2; +--- + +(design_file + (case_statement + (expression + (simple_name))) + (case_statement + (label + (identifier)) + (expression + (simple_name))) + (case_statement + (label + (identifier)) + (expression + (simple_name)) + at_end: (simple_name))) + +=================================== +Case statement alternatives +=================================== +case expr is + when a => + when b | c => + when others => +end case; +--- + +(design_file + (case_statement + (expression + (simple_name)) + (case_statement_alternative + (choices + (simple_expression + (simple_name)))) + (case_statement_alternative + (choices + (simple_expression + (simple_name)) + (simple_expression + (simple_name)))) + (case_statement_alternative + (choices + (others))))) + +=================================== +Sequence os statements +=================================== +case expr is + when a => + null; +end case; +--- + +(design_file + (case_statement + (expression + (simple_name)) + (case_statement_alternative + (choices + (simple_expression + (simple_name))) + (sequence_of_statements + (null_statement))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/if.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/if.txt new file mode 100644 index 000000000..26ed3b950 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/if.txt @@ -0,0 +1,103 @@ +================================ +Minimal +================================ +if true then +end if; + +L2: +if true then +end if; + +L3: +if true then +end if L3; +--- + +(design_file + (if_statement + (if + (conditional_expression + (simple_name)))) + (if_statement + (label + (identifier)) + (if + (conditional_expression + (simple_name)))) + (if_statement + (label + (identifier)) + (if + (conditional_expression + (simple_name))) + at_end: (simple_name))) + +================================ +Elsif +================================ +if true then +elsif true then +end if; +--- + +(design_file + (if_statement + (if + (conditional_expression + (simple_name))) + (elsif + (conditional_expression + (simple_name))))) + +================================ +Else +================================ +if true then +elsif true then +else +end if; +--- + +(design_file + (if_statement + (if + (conditional_expression + (simple_name))) + (elsif + (conditional_expression + (simple_name))) + (else))) + +================================ +Sequence os statements +================================ +if true then + null; +elsif true then + null; + null; +else + null; + null; + null; +end if; +--- + +(design_file + (if_statement + (if + (conditional_expression + (simple_name)) + (sequence_of_statements + (null_statement))) + (elsif + (conditional_expression + (simple_name)) + (sequence_of_statements + (null_statement) + (null_statement))) + (else + (sequence_of_statements + (null_statement) + (null_statement) + (null_statement))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/loop.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/loop.txt new file mode 100644 index 000000000..7cd49dbb0 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/loop.txt @@ -0,0 +1,116 @@ +=================================== +Minmal +=================================== +loop +end loop; + +L1: +loop +end loop; + +L2: +loop +end loop L2; +--- + +(design_file + (loop_statement) + (loop_statement + (label (identifier))) + (loop_statement + (label (identifier)) + at_end: (simple_name))) + +=================================== +Iteration scheme - While +=================================== +while cond + loop + end loop; +--- + +(design_file + (loop_statement + (while_loop + (conditional_expression + (simple_name))))) + +=================================== +Iteration scheme - For loop +=================================== +for c in st + loop + end loop; +--- + +(design_file + (loop_statement + (for_loop + (parameter_specification + (identifier) + (subtype_indication + (type_mark + (simple_name))))))) + +=================================== +Next I +=================================== +loop + next; +end loop; +--- + +(design_file + (loop_statement + (sequence_of_statements + (next_statement)))) + +=================================== +Next II +=================================== +for c in st + loop + next when cond; + end loop; +--- + +(design_file + (loop_statement + (for_loop + (parameter_specification + (identifier) + (subtype_indication + (type_mark + (simple_name))))) + (sequence_of_statements + (next_statement + (conditional_expression + (simple_name)))))) + +=================================== +Exit I +=================================== +loop + exit; +end loop; +--- + +(design_file + (loop_statement + (sequence_of_statements + (exit_statement)))) + +=================================== +Exit II +=================================== +loop + exit when e; +end loop; +--- + +(design_file + (loop_statement + (sequence_of_statements + (exit_statement + (conditional_expression + (simple_name)))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/report.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/report.txt new file mode 100644 index 000000000..a53fdd258 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/report.txt @@ -0,0 +1,30 @@ +================================ +Report - Minimal +================================ +report "str"; +L1: report "str"; +--- + +(design_file + (report_statement + (string_expression + (string_literal))) + (report_statement + (label + (identifier)) + (string_expression + (string_literal)))) + +================================ +Report - Severity +================================ +report K_MSG severity note; +--- + +(design_file + (report_statement + (string_expression + (simple_name)) + (severity_expression + (simple_name)))) + diff --git a/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/wait.txt b/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/wait.txt new file mode 100644 index 000000000..120d93d09 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/corpus/sequential_statements/wait.txt @@ -0,0 +1,71 @@ +================================================================================ +Wait - Minimal +================================================================================ +wait; +L: wait; +-------------------------------------------------------------------------------- + +(design_file + (wait_statement) + (wait_statement + (label + (identifier)))) + +================================================================================ +Wait - Sensitivity clause +================================================================================ +wait on a; +-------------------------------------------------------------------------------- + +(design_file + (wait_statement + (sensitivity_list + (simple_name)))) + +================================================================================ +Wait - Conditon clause +================================================================================ +wait until rising_edge(clk); +-------------------------------------------------------------------------------- + +(design_file + (wait_statement + (conditional_expression + (ambiguous_name + prefix: (simple_name) + (expression_list + (expression + (simple_name))))))) + +================================================================================ +Wait - Timeout clause +================================================================================ +wait for 10 ns; +-------------------------------------------------------------------------------- + +(design_file + (wait_statement + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) + +================================================================================ +Wait - All clauses +================================================================================ +L1: wait on foo, bar until cond for 1 ms; +-------------------------------------------------------------------------------- + +(design_file + (wait_statement + (label + (identifier)) + (sensitivity_list + (simple_name) + (simple_name)) + (conditional_expression + (simple_name)) + (time_expression + (physical_literal + (integer_decimal) + unit: (simple_name))))) diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/architecture_body.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/architecture_body.vhdl new file mode 100644 index 000000000..8c651e907 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/architecture_body.vhdl @@ -0,0 +1,4 @@ +architecture a of e is +begin +end architecture b; + -- ^ error.misspeling.name diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/architecture_declarative_part.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/architecture_declarative_part.vhdl new file mode 100644 index 000000000..c9d3e48f5 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/architecture_declarative_part.vhdl @@ -0,0 +1,86 @@ +architecture a of e is + + variable s : t; + -- ^ error.illegal.declaration + + procedure p; + + function f return t; + + procedure p is + begin + end procedure; + + function f return t is + begin + end function; + + procedure p2 is new up; + + function f2 is new uf; + + package pkg is + end; + + package body pkg is + end; + + package pkg2 is new upkg; + + type t is range 0 to 7; + + subtype st is t; + + constant k : t; + + signal s : t; + + shared variable s : t; + + file f : t; + + alias a is k; + + component c is + end component; + + attribute a of e : entity is x; + + attribute a : t; + + for l : c + use open; + + disconnect s : t after 10 ns; + + use foo.bar; + + group G1 : E (L2); + + group g is (signal); + + assert c; + + assume c; + + assume_guarantee c; + + restrict c; + + restrict_guarantee c; + + cover c; + + fairness c; + + strong fairness c,c; + + property p is (a -> b); + + sequence s is {c}; + + default clock is rising_edge(clk); + +begin +end; + diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/array_types.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/array_types.vhdl new file mode 100644 index 000000000..d7f77f56a --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/array_types.vhdl @@ -0,0 +1,15 @@ +type foo_t is array (resolve_f foobar_t) of bar_t; + -- ^ error.unexpected.resolution_function + +for k in foo_f bar_t + -- ^ error.unexpected.resolution_function + loop + end loop; + +type foo_t is array (3 downto 0) of foo_t; + -- ^ error.repeated.type + +type foo_t is array (integer range <>) of foo_t; + -- ^ error.repeated.type + + diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_declaration.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_declaration.vhdl new file mode 100644 index 000000000..bad01ec4e --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_declaration.vhdl @@ -0,0 +1,3 @@ +entity ent is +end entity foo; + -- ^ error.misspeling.name diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_declarative_part.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_declarative_part.vhdl new file mode 100644 index 000000000..f9e2e31bb --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_declarative_part.vhdl @@ -0,0 +1,86 @@ +entity e is + + variable s : t; + -- ^ error.illegal.declaration + + component c is + -- ^ error.illegal.declaration + end component; + + for l : c + -- ^ error.illegal.declaration + use open; + + procedure p; + + function f return t; + + procedure p is + begin + end procedure; + + function f return t is + begin + end function; + + procedure p2 is new up; + + function f2 is new uf; + + package pkg is + end; + + package body pkg is + end; + + package pkg2 is new upkg; + + type t is range 0 to 7; + + subtype st is t; + + constant k : t; + + signal s : t; + + shared variable s : t; + + file f : t; + + alias a is k; + + attribute a of e : entity is x; + + attribute a : t; + + disconnect s : t after 10 ns; + + use foo.bar; + + group G1 : E (L2); + + group g is (signal); + + assert c; + + assume c; + + assume_guarantee c; + + restrict c; + + restrict_guarantee c; + + cover c; + + fairness c; + + strong fairness c,c; + + property p is (a -> b); + + sequence s is {c}; + + default clock is rising_edge(clk); + +end entity; diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_header.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_header.vhdl new file mode 100644 index 000000000..61997cc31 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_header.vhdl @@ -0,0 +1,36 @@ +entity e is + generic (k:t); + generic map (k); + -- ^ error.illegal.map_aspect.generic + port (s:t); + port map (s); + -- ^ error.illegal.map_aspect.port +end entity; + + +entity e is + generic (k:t); + generic (k:t); + -- ^ error.repeated.clause.generic +end entity; + +entity e is + port (s:t); + port (s:t); + -- ^ error.repeated.clause.port +end entity; + + +entity e is + port (s:t); + generic (k:t); + -- ^ error.order.generic_after_port +end entity; + + +entity e is + generic (k:t) + -- ^ error.missing.semicolon.after_clause + port (s:t) + -- ^ error.missing.semicolon.after_clause +end entity; diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_statement_part.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_statement_part.vhdl new file mode 100644 index 000000000..b93d99708 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/entity_statement_part.vhdl @@ -0,0 +1,83 @@ +entity e is +begin + + process + begin + t <= x; + -- ^ error.illegal.assignment.in_passive_process + + t <= force x; + -- ^ error.illegal.assignment.in_passive_process + + t <= release; + -- ^ error.illegal.assignment.in_passive_process + end process; + +end entity; + +entity e is +begin + + L1: block + -- ^ error.illegal.statement + begin + end block; + + L2: component unit; + -- ^ error.illegal.statement + + t <= x; + -- ^ error.illegal.statement + + t <= x when c; + -- ^ error.illegal.statement + + with e select + -- ^ error.illegal.statement + t <= x when x; + + G1: for i in r + -- ^ error.illegal.statement + generate + end generate; + + G1: if c generate + -- ^ error.illegal.statement + end generate; + + G1: case e generate + -- ^ error.illegal.statement + end generate; + + property p is p1; + -- ^ error.illegal.statement + + sequence s is s1; + -- ^ error.illegal.statement + + default clock is x; + -- ^ error.illegal.statement + + p(arg); + + process + begin + end process; + + assert x; + + assume x; + + assume_guarantee x; + + restrict x; + + restrict_guarantee x; + + cover x; + + fairness x; + + strong fairness x,x; + +end entity; diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/enumeration_types.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/enumeration_types.vhdl new file mode 100644 index 000000000..2c1e61cb7 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/enumeration_types.vhdl @@ -0,0 +1,12 @@ +type enum_t is (foo, foo); + -- ^ error.repeated.enumerator + +type enum_t is ('0', '0'); + -- ^ error.repeated.enumerator + +type enum_t is (foo, '1', foo); + -- ^ error.repeated.enumerator + +type enum_t is ('0', foo, '0'); + -- ^ error.repeated.enumerator + diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/function_declaration.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/function_declaration.vhdl new file mode 100644 index 000000000..d72ccefeb --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/function_declaration.vhdl @@ -0,0 +1,40 @@ + function f; + -- ^ error.missing.return + + function f is + -- ^ error.missing.return + begin + end; + + + function f (k:t); + -- ^ error.missing.return + + function f (k:t) is + -- ^ error.missing.return + begin + end; + + + function "+" (k:t) return t is + begin + end g; + -- ^ error.misspeling.designator + + function f (k:t) return t is + begin + end procedure; + -- ^ error.misspeling.subprogram_kind + + + pure function f (k:t) return t is + begin + end pure function; + -- ^ error.unexpected.purity.at_end + + + function "and" return t; + + function "foo" return t; + -- ^ error.illegal.operator_symbol + diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/function_instantiation.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/function_instantiation.vhdl new file mode 100644 index 000000000..aa1cfc7f9 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/function_instantiation.vhdl @@ -0,0 +1,7 @@ +function foo is new bar [t]; + -- ^ error.missing.return + +function "+" is new foo."+" [t,t, return t]; + -- ^ error.unexpected.comma + + diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/function_paramater_clause.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/function_paramater_clause.vhdl new file mode 100644 index 000000000..e32868d42 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/function_paramater_clause.vhdl @@ -0,0 +1,31 @@ +function p + parameter ( + signal s : out t; + -- ^ error.illegal.mode + signal s : inout t; + -- ^ error.illegal.mode + signal s : buffer t; + -- ^ error.illegal.mode + signal s : linkage t + -- ^ error.illegal.mode + ) return t; + +function p + parameter (signal s : t := expr) return t; + -- ^ error.illegal.default_expression + +function p + parameter ( + variable v : t; + -- ^ error.illegal.interface.variable + file f : t; + -- ^ error.illegal.interface.file + type t; + -- ^ error.illegal.interface.type + procedure p; + -- ^ error.illegal.interface.procedure + function f return f; + -- ^ error.illegal.interface.function + package pkg is new l.pkg + -- ^ error.illegal.interface.package + ) return t; diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/numeric_type_definition.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/numeric_type_definition.vhdl new file mode 100644 index 000000000..cea52b5a0 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/numeric_type_definition.vhdl @@ -0,0 +1,12 @@ +type int_t is range 0 to 255.0; + -- ^ error.illegal.range + +type int_t is range 255 downto 0.0; + -- ^ error.illegal.range + +type int_t is range 1.0 to 255; + -- ^ error.illegal.range + +type int_t is range 255.0 downto 0; + -- ^ error.illegal.range + diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/package_body.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_body.vhdl new file mode 100644 index 000000000..b088dfafb --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_body.vhdl @@ -0,0 +1,4 @@ +package body pkg is +end package body foo; + -- ^ error.misspeling.name + diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/package_body_declarative_part.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_body_declarative_part.vhdl new file mode 100644 index 000000000..051a8d830 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_body_declarative_part.vhdl @@ -0,0 +1,128 @@ +package body pkg is + + signal s : t; + -- ^ error.illegal.declaration + + component c is + -- ^ error.illegal.declaration + end component; + + for l : c + -- ^ error.illegal.declaration + use open; + + disconnect s : t after 10 ns; + -- ^ error.illegal.declaration + + assert c; + -- ^ error.illegal.declaration + + assume c; + -- ^ error.illegal.declaration + + assume_guarantee c; + -- ^ error.illegal.declaration + + restrict c; + -- ^ error.illegal.declaration + + restrict_guarantee c; + -- ^ error.illegal.declaration + + cover c; + -- ^ error.illegal.declaration + + fairness c; + -- ^ error.illegal.declaration + + strong fairness c,c; + -- ^ error.illegal.declaration + + property p is (a -> b); + -- ^ error.illegal.declaration + + sequence s is {c}; + -- ^ error.illegal.declaration + + default clock is rising_edge(clk); + -- ^ error.illegal.declaration + + procedure p; + + procedure p is + begin + end procedure; + + procedure p2 is new up; + + function f return t is + begin + end function; + + function f return t; + + function f2 is new uf; + + package pkg is + end; + + package body pkg is + end; + + package pkg2 is new upkg; + + type t is range 0 to 7; + + subtype st is t; + + constant k : t; + + variable s : t; + + shared variable s : t; + + file f : t; + + alias a is k; + + attribute a of e : entity is x; + + attribute a : t; + + use foo.bar; + + group G1 : E (L2); + + group g is (signal); + +end package body; + + +procedure p is + package body pkg is + shared variable sv : t; + -- ^ error.unexpected.shared + end package body; +begin +end procedure; + + +process + package body pkg is + shared variable sv : t; + -- ^ error.unexpected.shared + end package body; +begin +end process; + + +type t is + protected body + package body pkg is + shared variable sv : t; + -- ^ error.unexpected.shared + end package body; +end protected body; + + + diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/package_declaration.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_declaration.vhdl new file mode 100644 index 000000000..92b4cbeec --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_declaration.vhdl @@ -0,0 +1,3 @@ +package pkg is +end package foo; + -- ^ error.misspeling.name diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/package_declarative_part.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_declarative_part.vhdl new file mode 100644 index 000000000..0996952e0 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_declarative_part.vhdl @@ -0,0 +1,173 @@ +package pkg is + + procedure p is + -- ^ error.illegal.declaration + begin + end procedure; + + function f return t is + -- ^ error.illegal.declaration + begin + end function; + + for l : c + -- ^ error.illegal.declaration + use open; + + procedure p; + + function f return t; + + procedure p2 is new up; + + function f2 is new uf; + + package pkg is + end; + + package body pkg is + end; + + package pkg2 is new upkg; + + type t is range 0 to 7; + + subtype st is t; + + constant k : t; + + signal s : t; + + variable s : t; + + shared variable s : t; + + file f : t; + + alias a is k; + + component c is + end component; + + attribute a of e : entity is x; + + attribute a : t; + + disconnect s : t after 10 ns; + + use foo.bar; + + group G1 : E (L2); + + group g is (signal); + + assert c; + + assume c; + + assume_guarantee c; + + restrict c; + + restrict_guarantee c; + + cover c; + + fairness c; + + strong fairness c,c; + + property p is (a -> b); + + sequence s is {c}; + + default clock is rising_edge(clk); + +end package; + +package pkg is + + type t is + protected + end protected; + + type t is + protected body + -- ^ error.illegal.declaration + end protected body; + +end package; + +procedure p is + package pkg is + shared variable sv : t; + -- ^ error.unexpected.shared + + signal s : t; + -- ^ error.illegal.declaration + + disconnect s : t after 10 ns; + -- ^ error.illegal.declaration + + property p is (a -> b); + -- ^ error.illegal.declaration + + sequence s is {c}; + -- ^ error.illegal.declaration + + default clock is rising_edge(clk); + -- ^ error.illegal.declaration + end package; +begin +end procedure; + + +process + package pkg is + shared variable sv : t; + -- ^ error.unexpected.shared + + signal s : t; + -- ^ error.illegal.declaration + + disconnect s : t after 10 ns; + -- ^ error.illegal.declaration + + property p is (a -> b); + -- ^ error.illegal.declaration + + sequence s is {c}; + -- ^ error.illegal.declaration + + default clock is rising_edge(clk); + -- ^ error.illegal.declaration + end package; +begin +end process; + + +type t is + protected body + package pkg is + shared variable sv : t; + -- ^ error.unexpected.shared + + signal s : t; + -- ^ error.illegal.declaration + + disconnect s : t after 10 ns; + -- ^ error.illegal.declaration + + property p is (a -> b); + -- ^ error.illegal.declaration + + sequence s is {c}; + -- ^ error.illegal.declaration + + default clock is rising_edge(clk); + -- ^ error.illegal.declaration + end package; +end protected body; + + + diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/package_header.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_header.vhdl new file mode 100644 index 000000000..4ab61c527 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_header.vhdl @@ -0,0 +1,43 @@ +package pkg is + generic (k:t); + generic map (k); + port (s:t); + -- ^ error.illegal.clause.port + port map (s); + -- ^ error.illegal.map_aspect.port +end package; + + +package pkg is + generic (k:t); + generic (k:t); + -- ^ error.repeated.clause.generic +end package; + +package pkg is + generic (k:t); + generic map (k); + generic map (k); + -- ^ error.repeated.map_aspect.generic +end package; + + +package pkg is + generic map (k); + -- ^ error.missing.clause.generic +end package; + + +package pkg is + generic map (k); + generic (k:t); + -- ^ error.order.clause_after_map_aspect +end package; + + +package pkg is + generic (k:t) + -- ^ error.missing.semicolon.after_clause + generic map (k) + -- ^ error.missing.semicolon.after_map_aspect +end package; diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/package_map_aspect.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_map_aspect.vhdl new file mode 100644 index 000000000..c9f9e50ed --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/package_map_aspect.vhdl @@ -0,0 +1,19 @@ +package p is new u + generic (k:t) +-- ^ error.illegal.clause.generic + generic map (k) + port (s:t) +-- ^ error.illegal.clause.port + port map (s); +-- ^ error.illegal.map_aspect.port + + +package p is new u + generic map (k) + generic map (k); +-- ^ error.repeated.map_aspect.generic + + +package p is new u + generic map (k);; + -- ^ error.unexpected.semicolon.after_map_aspect diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/physical_types.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/physical_types.vhdl new file mode 100644 index 000000000..46c4e4c96 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/physical_types.vhdl @@ -0,0 +1,36 @@ +type physical_t is range l to h + units + end units foo; + -- ^ error.misspeling.name + +type physical_t is range l to h + units + a; + b = 1.0 a; + -- ^ error.illegal.floating_point + end units; + + +type physical_t is range l to h + units + a; + a = 1 a; + -- ^ error.repeated.unit + end units; + +type physical_t is range l to h + units + a; + b = 1 a; + b = 1 a; + -- ^ error.repeated.unit + end units; + +type physical_t is range l to h + units + a; + b = 1 a; + c = 1 a; + b = 1 a; + -- ^ error.repeated.unit + end units; diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/predefined_array_types.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/predefined_array_types.vhdl new file mode 100644 index 000000000..510f7c878 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/predefined_array_types.vhdl @@ -0,0 +1,28 @@ +subtype f_t is integer range 10 to 0; + +subtype st is string(-1 to 7); + -- ^ error.illegal.index.negative + +subtype st is string(0 to 7, 1 to 7); + -- ^ error.illegal.index.zero + -- ^ error.illegal.discrete_range + +subtype st is boolean_vector(-1 to 7, 0 to 7); + -- ^ error.illegal.index.negative + -- ^ error.illegal.discrete_range + +subtype st is bit_vector(-1 to 7, 0 to 7); + -- ^ error.illegal.index.negative + -- ^ error.illegal.discrete_range + +subtype st is integer_vector(-1 to 7, 0 to 7); + -- ^ error.illegal.index.negative + -- ^ error.illegal.discrete_range + +subtype st is real_vector(-1 to 7, 0 to 7); + -- ^ error.illegal.index.negative + -- ^ error.illegal.discrete_range + +subtype st is time_vector(-1 to 7, 0 to 7); + -- ^ error.illegal.index.negative + -- ^ error.illegal.discrete_range diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/procedure_declaration.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/procedure_declaration.vhdl new file mode 100644 index 000000000..1f706a952 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/procedure_declaration.vhdl @@ -0,0 +1,38 @@ + pure procedure f (k:t); +-- ^ error.unexpected.purity + + pure procedure f (k:t) is +-- ^ error.unexpected.purity + begin + end pure procedure; + -- ^ error.unexpected.purity + + + procedure "+" (k:t); + -- ^ error.illegal.designator.operator_symbol + + procedure "+" (k:t) is + -- ^ error.illegal.designator.operator_symbol + begin + end "+"; + -- ^ error.illegal.designator.operator_symbol + + + procedure f (k:t) return x; + -- ^ error.unexpected.return + + procedure f (k:t) return x is + -- ^ error.unexpected.return + begin + end; + + + procedure f (k:t) is + begin + end g; + -- ^ error.misspeling.designator + + procedure f (k:t) is + begin + end function; + -- ^ error.misspeling.subprogram_kind diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/procedure_instantiation.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/procedure_instantiation.vhdl new file mode 100644 index 000000000..9fe09a53f --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/procedure_instantiation.vhdl @@ -0,0 +1,12 @@ + pure procedure foo is new bar; +-- ^ error.unexpected.purity + + impure procedure foo is new bar; +-- ^ error.unexpected.purity + + + procedure "+" is new foo."+"; + -- ^ error.illegal.designator.operator_symbol + + procedure foo is new bar [return t]; + -- ^ error.unexpected.return diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/procedure_paramater_clause.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/procedure_paramater_clause.vhdl new file mode 100644 index 000000000..c034678ba --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/procedure_paramater_clause.vhdl @@ -0,0 +1,32 @@ +procedure p + parameter ( + signal s : buffer t; + -- ^ error.illegal.mode + signal s : linkage t + -- ^ error.illegal.mode + ); + +procedure p + parameter (signal s : t := expr); + -- ^ error.illegal.default_expression + +procedure p + parameter ( + variable v : buffer t; + -- ^ error.illegal.mode + variable v : linkage t + -- ^ error.illegal.mode + ); + + +procedure p + parameter ( + type t; + -- ^ error.illegal.interface.type + procedure p; + -- ^ error.illegal.interface.procedure + function f return f; + -- ^ error.illegal.interface.function + package pkg is new l.pkg + -- ^ error.illegal.interface.package + ); diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/record_types.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/record_types.vhdl new file mode 100644 index 000000000..3cb680865 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/record_types.vhdl @@ -0,0 +1,24 @@ +type foo is + record + end record bar; + -- ^ error.misspeling.name + +type foo is + record + e1, e1 : t; + -- ^ error.repeated.identifier + end record; + +type foo is + record + e1 : t; + e1 : t; + -- ^ error.repeated.identifier + end record; + +type foo is + record + e1, e2 : t; + e3, e2 : t; + -- ^ error.repeated.identifier + end record; diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/subprogram_declarative_part.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/subprogram_declarative_part.vhdl new file mode 100644 index 000000000..ea16202b3 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/subprogram_declarative_part.vhdl @@ -0,0 +1,97 @@ +procedure foo is + + type it; + -- ^ error.illegal.declaration + + signal s : t; + -- ^ error.illegal.declaration + + shared variable s : t; + -- ^ error.unexpected.shared + + component c is + -- ^ error.illegal.declaration + end component; + + for l : c + -- ^ error.illegal.declaration + use open; + + disconnect s : t after 10 ns; + -- ^ error.illegal.declaration + + assert c; + -- ^ error.illegal.declaration + + assume c; + -- ^ error.illegal.declaration + + assume_guarantee c; + -- ^ error.illegal.declaration + + restrict c; + -- ^ error.illegal.declaration + + restrict_guarantee c; + -- ^ error.illegal.declaration + + cover c; + -- ^ error.illegal.declaration + + fairness c; + -- ^ error.illegal.declaration + + strong fairness c,c; + -- ^ error.illegal.declaration + + property p is (a -> b); + -- ^ error.illegal.declaration + + sequence s is {c}; + -- ^ error.illegal.declaration + + default clock is rising_edge(clk); + -- ^ error.illegal.declaration + + procedure p; + function f return t; + + procedure p is + begin + end procedure; + + function f return t is + begin + end function; + + procedure p2 is new up; + function f2 is new uf; + + package pkg is + end; + + package body pkg is + end; + + package pkg2 is new upkg; + + type t is range 0 to 7; + + subtype st is t; + + constant k : t; + variable s : t; + file f : t; + + alias a is k; + + attribute a of e : entity is x; + attribute a : t; + + use foo.bar; + + group G1 : E (L2); + group g is (signal); + +begin +end; diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/subprogram_header.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/subprogram_header.vhdl new file mode 100644 index 000000000..f92fc9898 --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/subprogram_header.vhdl @@ -0,0 +1,41 @@ +procedure p + generic (k:t) + generic map (k) + port (s:t) + -- ^ error.illegal.clause.port + port map (s); + -- ^ error.illegal.map_aspect.port + + +procedure p + generic (k:t) + generic (k:t); + -- ^ error.repeated.clause.generic + +procedure p + generic (k:t) + generic map (k) + generic map (k); + -- ^ error.repeated.map_aspect.generic + + +procedure p + generic map (k); + -- ^ error.missing.clause.generic + + +procedure p + generic map (k) + generic (k:t); + -- ^ error.order.clause_after_map_aspect + + +architecture a of e is + function p + generic (k:t); + -- ^ error.unexpected.semicolon.after_clause + generic map (k); return t; + -- ^ error.unexpected.semicolon.after_map_aspect +begin +end; + diff --git a/vendored_parsers/tree-sitter-vhdl/test/highlight/subprogram_map_aspect.vhdl b/vendored_parsers/tree-sitter-vhdl/test/highlight/subprogram_map_aspect.vhdl new file mode 100644 index 000000000..8be57521b --- /dev/null +++ b/vendored_parsers/tree-sitter-vhdl/test/highlight/subprogram_map_aspect.vhdl @@ -0,0 +1,18 @@ +procedure p is new u + generic (k:t) +-- ^ error.illegal.clause.generic + generic map (k) + port (s:t) +-- ^ error.illegal.clause.port + port map (s); +-- ^ error.illegal.map_aspect.port + + +procedure p is new u + generic map (k) + generic map (k); +-- ^ error.repeated.map_aspect.generic + +procedure p is new u + generic map (k);; + -- ^ error.unexpected.semicolon.after_map_aspect